Wednesday, 2021-12-01

*** tpb <[email protected]> has joined #symbiflow00:00
*** TMM_ <[email protected]> has joined #symbiflow00:01
*** lopsided98 <lopsided98!~quassel@2601:18a:0:85e0:ba27:ebff:fe5e:6b6e> has joined #symbiflow00:02
*** sauce <[email protected]> has joined #symbiflow00:03
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 276 seconds)03:11
*** tristanC <tristanC!~tristanC@user/tristanc> has joined #symbiflow03:34
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #symbiflow05:08
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)05:33
*** TMM_ <[email protected]> has joined #symbiflow05:33
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 276 seconds)05:58
*** lopsided98 <lopsided98!~quassel@2601:18a:0:85e0:ba27:ebff:fe5e:6b6e> has quit IRC (Quit: No Ping reply in 210 seconds.)08:11
*** lopsided98 <lopsided98!~quassel@2601:18a:0:85e0:ba27:ebff:fe5e:6b6e> has joined #symbiflow08:12
*** adjtm_ <[email protected]> has joined #symbiflow08:58
*** adjtm <adjtm!~adjtm@2a0c:5a80:1106:b600:b554:dac8:44cd:3e1d> has quit IRC (Read error: Connection reset by peer)08:58
tristanCHello folks, I'm getting started with fpga through the retroclash book. I somehow managed to generate a bitfile for the nexys a7, but I had to update the prjxray-db files using the project HEAD to add the missing artix7/xc7a50tcsg324-1/part.json file.15:51
tristanCIs there a conda incantation i could use to do this automatically, or is it expected to copy the missing files from the prjxray-db repository?15:52
sf-slack<acomodi> Hi tristanC! I think that the conda package needs to be bumped to the most recent one: https://github.com/SymbiFlow/symbiflow-examples/blob/7b62455043ea7910a60783dbab87b2107d64f4ab/xc7/environment.yml#L815:54
sf-slack<acomodi> https://anaconda.org/LiteX-Hub/prjxray-db/files here is a collection of the prjxray-db packages15:56
tristanCacomodi: perfect, thank you!15:58
sf-slack<manili.devteam> Hi all, Here is a proposal of an idea of mine called vgFPGA. I’ll be so happy to talk about it with other people. So please feel free to contact me via Slack DM.16:00
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #symbiflow16:23
mithroacomodi / nelsobe@ - https://github.com/SymbiFlow/symbiflow-arch-defs/pull/233417:15
mithroFinally able to reproduce the behaviour that nelsobe was seeing17:15
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)17:16
*** TMM_ <[email protected]> has joined #symbiflow17:16
*** adjtm_ <[email protected]> has quit IRC (Quit: Leaving)18:29
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 276 seconds)19:04
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #symbiflow19:06
*** adjtm <[email protected]> has joined #symbiflow21:59
*** bl0x <bl0x!~bloeher@p200300d7a717a000c7e9abfe6f071476.dip0.t-ipconnect.de> has joined #symbiflow23:20
bl0xI'm wondering how to use the iserdese2 oversample mode for xc7 platform. vpr complains about missing arch model: Message: Failed to find matching architecture model for 'ISERDESE2'23:24
mithroPeople here might find https://twitter.com/proppy/status/1466020384063430657?s=20 interesting23:32
*** bl0x_ <bl0x_!~bastii@p200300d7a717a00070c60bdc0abb7bac.dip0.t-ipconnect.de> has joined #symbiflow23:53
*** bl0x <bl0x!~bloeher@p200300d7a717a000c7e9abfe6f071476.dip0.t-ipconnect.de> has left #symbiflow (Konversation terminated!)23:53
*** bl0x_ is now known as bl0x23:56

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!