Wednesday, 2020-07-01

*** tpb has joined #yosys00:00
*** kraiskil has quit IRC00:03
*** emeb_mac has joined #yosys00:47
mwkpepijndevos: in gowin, why do all the FFs have the INIT parameter? it seems to me that init value is basically determined by the cell type (0 if it has reset, 1 if it has set), and thus the parameter is both unnecessary and invalid (ie. cells with set have INIT of 0 by default. and the techmap rules don't change that default, resulting in sim mismatch)01:03
*** emeb_mac has quit IRC01:20
LoftyI think it's to match the vendor model, mwk01:21
*** emeb has quit IRC01:21
mwkLofty: I'd prefer correct models to vendor-matching models01:21
mwkeither the synth flow is buggy and we're using the FFs with set wrong, or the sim library is01:22
*** emeb has joined #yosys01:23
*** emeb_mac has joined #yosys01:25
*** Degi has quit IRC01:51
*** Degi has joined #yosys01:53
*** citypw has joined #yosys02:03
*** proteusguy has quit IRC02:04
*** citypw has quit IRC03:30
*** emeb has left #yosys03:50
*** az0re has joined #yosys04:08
*** az0re has quit IRC04:24
*** FFY00 has quit IRC05:47
*** acertain has joined #yosys06:42
*** emeb_mac has quit IRC06:46
acertaini'm trying to use yosys as a frontend for verification tools (& not actually for synthesis), is there a way to unset a reg/cause the generated transition rel to under some conditions not implicitly set next var = var?06:48
acertainactually seems like btor2 might not support this?06:49
*** nengel has joined #yosys07:03
*** jakobwenzel has joined #yosys07:52
*** dys has quit IRC07:56
*** dys has joined #yosys08:10
*** kraiskil has joined #yosys08:24
*** adjtm_ has quit IRC08:24
*** Asu has joined #yosys08:27
*** citypw has joined #yosys09:05
*** citypw has quit IRC09:10
*** citypw has joined #yosys09:22
*** Asu has quit IRC09:37
*** Asu has joined #yosys09:39
*** Asu has quit IRC09:41
*** X-Scale has quit IRC09:49
*** X-Scale` has joined #yosys09:49
*** X-Scale` is now known as X-Scale09:49
*** proteusguy has joined #yosys09:52
*** Asu has joined #yosys10:14
*** _whitelogger has quit IRC10:21
*** _whitelogger has joined #yosys10:23
*** Asu has quit IRC10:39
*** Asu has joined #yosys10:40
*** Asu has quit IRC11:05
*** Asu has joined #yosys11:10
*** kraiskil has quit IRC11:15
*** kraiskil has joined #yosys11:28
*** kraiskil has joined #yosys11:30
*** kraiskil has joined #yosys11:32
*** AdamHorden has quit IRC11:44
*** AdamHorden has joined #yosys11:56
*** maartenBE has quit IRC12:02
*** smarter has quit IRC12:10
*** smarter_ has joined #yosys12:10
*** ZipCPU has quit IRC12:12
*** ZipCPU has joined #yosys12:15
*** FFY00 has joined #yosys12:16
*** minicom has joined #yosys13:02
ZipCPUacertain: What is it you are trying to do?  I'm not sure I get it, and I'm also not sure I get why it can't be done in logic in the first place13:21
*** TFKyle has quit IRC13:24
*** TFKyle has joined #yosys13:25
acertainin this case i want to check if an incomplete implementation is sufficient for proving a property13:38
*** kraiskil has quit IRC13:52
acertainregs start as undefined? and verification tools can check if there exists a bad initial value for regs? so i want to be able to set them back to undefined to check the safety property without having to fully specify everything13:53
acertaini guess i could have a big array reg & take bits from it as needed? but i think that would be very inefficient14:00
*** jakobwenzel has quit IRC14:06
*** kraiskil has joined #yosys14:07
*** jakobwenzel has joined #yosys14:07
FL4SHKSo I'm doing `cover(past_rst && bus.empty)`14:08
FL4SHKthis does not seem to be working14:09
FL4SHK`if (rst) begin past_rst <= 1'b1; end`14:09
FL4SHKit also won't work for `cover(past_rst)`14:09
*** FFY00 has quit IRC14:21
*** kraiskil has quit IRC14:55
*** jakobwenzel has quit IRC15:10
*** FFY00 has joined #yosys15:45
*** FFY00 has quit IRC15:46
*** FFY00 has joined #yosys15:47
*** FFY00 has quit IRC15:48
*** FFY00 has joined #yosys15:50
*** citypw has quit IRC16:22
*** jeanthom has joined #yosys16:25
*** FFY00 has quit IRC16:44
*** FFY00 has joined #yosys16:45
*** craigo has joined #yosys16:53
*** craigo has quit IRC16:59
*** craigo has joined #yosys16:59
ZipCPUFL4SHK: Did you double check that past_rst was initialized to zero?17:01
ZipCPU'cause ... it should work just fine in a cover statement--it's just logic.17:01
FL4SHKZipCPU:  I'm not sure it got initialized properly given that this is nmigen17:01
*** craigo has quit IRC17:02
ZipCPUIn order for past_valid to work, it needs to be initialized.17:02
FL4SHKI think I initialized it wrongly17:02
*** craigo has joined #yosys17:05
FL4SHKZipCPU:  so I'm probably doing this wrongly, but I'm trying to ensure that `cover` doesn't hit an invalid state17:09
ZipCPUWhy not assert that the invalid state will never happen?17:10
*** kraiskil has joined #yosys17:12
*** anticw has quit IRC17:15
*** Max-P has quit IRC17:15
*** bluesceada has quit IRC17:15
*** anticw has joined #yosys17:18
*** show1 has joined #yosys17:18
*** Degi has quit IRC17:18
*** Max-P has joined #yosys17:19
*** bluesceada has joined #yosys17:20
*** Degi has joined #yosys17:25
*** oldtopman has quit IRC17:26
*** alexhw has quit IRC17:26
*** bzztploink has quit IRC17:26
*** gtw has quit IRC17:26
*** thardin has quit IRC17:26
*** somlo has quit IRC17:26
*** FL4SHK has quit IRC17:26
*** josi has quit IRC17:26
*** pepijndevos has quit IRC17:26
*** oldtopman has joined #yosys17:29
*** alexhw has joined #yosys17:29
*** thardin has joined #yosys17:29
*** bzztploink has joined #yosys17:29
*** gtw has joined #yosys17:29
*** somlo has joined #yosys17:29
*** FL4SHK has joined #yosys17:29
*** josi has joined #yosys17:29
*** pepijndevos has joined #yosys17:29
*** SpaceCoaster has joined #yosys18:03
*** N2TOH has joined #yosys18:05
*** N2TOH_ has quit IRC18:09
*** dys has quit IRC18:37
*** smarter_ is now known as smarter19:23
*** kraiskil has quit IRC19:31
*** fevv8[m] has quit IRC19:45
*** fevv8[m] has joined #yosys19:48
*** az0re has joined #yosys19:59
*** emeb_mac has joined #yosys20:38
*** Asu has quit IRC21:34
*** cr1901_modern has quit IRC21:35
*** cr1901_modern has joined #yosys21:36
*** emeb has joined #yosys22:42
*** kraiskil has joined #yosys22:48
*** emeb has quit IRC23:46

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!