Tuesday, 2020-06-30

*** tpb has joined #yosys00:00
*** ananan has joined #yosys01:48
anananIs there a dedicated channel for symbiyosys / formal (specifically riscv-formal stuff) or is this the best place?01:49
anananand/or has anyone here used riscv-formal?01:49
*** strobokopp has quit IRC01:53
*** Degi has quit IRC01:53
*** Degi has joined #yosys01:54
*** maartenBE has quit IRC02:06
awyglethis is the best place, probably02:09
*** emilazy has quit IRC02:36
*** bubble_buster has quit IRC02:36
*** mithro has quit IRC02:37
*** rjeli has quit IRC02:37
*** mithro has joined #yosys02:38
*** bubble_buster has joined #yosys02:39
*** citypw has joined #yosys02:39
*** maartenBE has joined #yosys02:40
*** emilazy has joined #yosys02:40
*** rjeli has joined #yosys02:41
*** emeb has quit IRC03:59
*** m4ssi has joined #yosys05:07
*** az0re has joined #yosys05:28
*** emeb_mac has quit IRC06:20
*** m4ssi has quit IRC06:23
*** indy has joined #yosys06:51
*** jakobwenzel has joined #yosys07:32
*** kraiskil has joined #yosys08:01
*** dys has joined #yosys08:05
*** Asu has joined #yosys08:08
thardinmorning08:14
thardinhow do you all do testing of your designs? I'm considering something like every module foo.v having a test_foo.v test bench, and write_verilog synth_foo.v from yosys08:20
thardinand do both iverilog test_foo.v foo.v  and iverilog test_foo.v synth_foo.v08:20
thardinand maybe a reference output for each module08:21
strubiTesting, ha. I ended up running things through a jupyter notebook and some py.test framework08:21
strubi(using pyosys API)08:22
*** promach3 has quit IRC08:38
*** nurelin has quit IRC08:38
*** fevv8[m] has quit IRC08:38
*** nurelin has joined #yosys08:51
*** N2TOH_ has joined #yosys09:07
*** N2TOH has quit IRC09:07
thardinhaven't  had too much problem with the actual verilog so far, it's mostly the numerics/dsp stuff that's tricky09:16
thardinbut being reasonably sure none of the I/O stuff or lower-level modules work as expected is less mental load :)09:16
strubiimplicit sign extension and that sort? they keep being painful...09:22
*** fevv8[m] has joined #yosys09:22
*** promach3 has joined #yosys09:22
strubiNot sure, no vegan i am09:25
strubiwhoops09:25
thardinnah more like control loops and such09:26
thardinintegration blowing up09:26
thardinthose sorts of things09:26
thardinsign extension problems can be caught statically. purely numeric issues are hard to prove/disprove by machine09:27
strubibut that doesn't sound too HDL specific, you'll just have to pinpoint eventuall discrepancies between numerical model and HW implementation (and hope your vendor models are right)09:31
strubiI am putting coffee into my throat now. Not trusting fully sized avocados yet.09:45
strubiArgh... I'm dumb. These windows..09:46
*** kraiskil has quit IRC09:51
*** kraiskil has joined #yosys10:16
*** Asu has quit IRC11:08
*** Asu has joined #yosys11:08
*** nengel has joined #yosys11:52
*** kraiskil has quit IRC11:55
thardin"vegans after dark" :]12:09
*** nengel has quit IRC12:19
*** nengel has joined #yosys12:21
*** nengel has quit IRC12:47
*** kraiskil has joined #yosys13:07
*** kraiskil has quit IRC13:09
*** kraiskil has joined #yosys13:10
*** kraiskil has quit IRC13:54
*** kraiskil has joined #yosys13:55
*** jakobwenzel has quit IRC13:59
*** nengel has joined #yosys14:35
thardinare there any dev boards with the HX8K?14:42
daveshahThere's the mystorm BlackIce which is hx4k (8k die)14:43
tnticoboard maybe ?14:43
thardinhttp://www.latticesemi.com/en/Products/DevelopmentBoardsAndKits/iCE40HX8KBreakoutBoard14:43
tpbTitle: iCE40-HX8K Breakout Board - Lattice Semiconductor (at www.latticesemi.com)14:43
thardinI can probably squeeze my design down to 1k in due time, but I don't want to have to while I'm still experimenting14:44
thardinhttps://www.electrokit.com/produkt/olimex-ice40hx8k-fpga-utvecklingskort/14:45
tpbTitle: Köp Olimex iCE40HX8K FPGA utvecklingskort till rätt pris @ Electrokit (at www.electrokit.com)14:45
thardinlooks like it uses the AVRISP connector for programming?14:46
thardinicoboard looks interesting14:49
thardincan it be programmed without a pi?14:53
daveshahyes there is a USB baseboard for it14:54
*** indy has quit IRC14:54
*** nengel has quit IRC14:59
thardinlink? looking at https://www.digikey.se/sv/product-highlight/t/trenz/carrier-boards  right now14:59
*** indy has joined #yosys15:02
thardintaking a look at the 32c3 talk about it15:09
thardinI do have an extra pi laying around, but it would be nice to have fewer things inbetween15:15
strubiwhy not just use one of the omnipresent FT2232 boards?15:21
thardinif that works then sure. I have one of those even15:21
thardinhaven't dived into the icoboard documentation yet :)15:23
*** Asu has quit IRC15:25
*** Asu has joined #yosys15:26
*** DaKnig has joined #yosys15:31
*** emeb has joined #yosys15:48
strubiand someone has changed what?16:02
strubi(pls ignore again)16:03
*** strubi has quit IRC16:03
*** ross_s has joined #yosys16:04
*** citypw has quit IRC16:08
*** dys has quit IRC16:54
*** X-Scale has quit IRC17:53
*** FFY00 has quit IRC18:04
*** FFY00 has joined #yosys18:05
*** FFY00 has quit IRC18:06
*** FFY00 has joined #yosys18:07
*** SpaceCoaster has quit IRC18:24
*** X-Scale has joined #yosys18:31
*** X-Scale` has joined #yosys18:47
*** X-Scale has quit IRC18:48
*** X-Scale` is now known as X-Scale18:48
thardinwhat's the tool to program the arty board again?18:58
daveshahxc3sprog?18:59
*** FFY00 has quit IRC19:00
*** AdamHorden has quit IRC19:00
*** FFY00 has joined #yosys19:00
thardinI'll give it a look, thanks19:00
*** FFY00 has quit IRC19:01
*** FFY00 has joined #yosys19:01
thardinwe have blinkenlights! :)19:03
thardinxc3sprog -c nexys4 blinky.bit   was the invocation19:03
*** FFY00 has quit IRC19:05
*** FFY00 has joined #yosys19:05
*** kraiskil has quit IRC19:13
*** AdamHorden has joined #yosys19:15
lambdathardin: https://github.com/trabucayre/openFPGALoader is an alternative that's less dead19:17
tpbTitle: GitHub - trabucayre/openFPGALoader: Universal utility for programming FPGA (at github.com)19:17
*** m4ssi has joined #yosys19:18
bwidawskm/][i19:23
thardinlambda: noted19:25
*** craigo has joined #yosys19:32
thardinshould I be concerned that nextpnr-xilinx doesn't complain about ports not being assigned properly? it compiles my code for the iceblink board just fine, even with me not having set up all the PMOD pins yet19:34
daveshahIt is missing loads of error checking19:35
thardinI gets output like "Info:     IO port 'PMOD1' driven by IBUF '$auto$iopadmap.cc:409:execute$36570'"19:35
thardinah ok19:35
daveshahThere is a very good reason it isn't upstream (and at the current rate may never end up upstream)19:35
thardinfound digilent's xdc files, so I should hopefully get something going19:39
*** craigo has quit IRC20:01
*** kraiskil has joined #yosys20:23
thardinI get SCLK on my DAC at the speed I expect. wrong pin though, but that can be fixed tomorrow20:24
*** kraiskil has quit IRC20:29
*** az0re has quit IRC20:31
*** dys has joined #yosys20:34
awygledo conditional cover statements make sense? is there a difference between `cover(a&b)` and `if a: cover(b)`? does the latter even work?20:42
mwkI don't suppose we have someone who knows the clk2fflogic pass here?20:49
mwkI'd really like to know why it transforms the $adff cell to effectively use `ARST || $past(ARST)` instead of just `ARST` as the reset20:49
mwkand, for that matter, why it doesn't do such a thing on $dffsr20:50
daveshahI think this was to fix some slightly confusing behaviour, I remember it being discussed with claire at one point20:51
*** az0re has joined #yosys20:51
daveshahthe trigger was this rather vague reddit post https://www.reddit.com/r/yosys/comments/c8ioug/asynchronous_reset_mechanism_of_d_flipflop_in/21:01
tpbTitle: asynchronous reset mechanism of D flip-flop in yosys : yosys (at www.reddit.com)21:01
*** az0re has quit IRC21:05
*** Asu has quit IRC21:09
*** ktemkin has quit IRC21:14
*** ktemkin has joined #yosys21:15
*** daveshah has quit IRC21:15
*** daveshah has joined #yosys21:15
*** AdamHorden has quit IRC22:28
*** AdamHorden has joined #yosys22:31
*** m4ssi has quit IRC22:53
*** kraiskil has joined #yosys23:07

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!