Sunday, 2020-04-19

*** tpb has joined #yosys00:00
*** Degi_ has joined #yosys00:16
*** Degi has quit IRC00:19
*** Degi_ is now known as Degi00:19
*** emeb has quit IRC00:33
*** adjtm has joined #yosys00:43
*** citypw has joined #yosys01:20
*** npe has quit IRC01:30
*** craigo has joined #yosys02:15
*** ebb has quit IRC02:29
*** ebb has joined #yosys02:32
*** npe has joined #yosys02:34
*** proteusguy has quit IRC02:42
*** proteusguy has joined #yosys02:56
*** npe has quit IRC03:36
*** npe has joined #yosys03:36
*** npe has quit IRC03:37
*** npe has joined #yosys03:37
*** npe has joined #yosys03:38
*** npe has joined #yosys03:38
*** npe has joined #yosys03:39
*** npe has joined #yosys03:40
*** npe has quit IRC03:40
*** npe has joined #yosys03:41
*** npe has joined #yosys03:41
*** npe has joined #yosys03:42
*** npe has joined #yosys03:43
*** npe has quit IRC03:43
*** npe has joined #yosys03:44
*** npe has joined #yosys03:45
*** npe has joined #yosys03:46
*** npe has quit IRC03:47
*** npe has joined #yosys03:47
*** npe has joined #yosys03:48
*** npe has joined #yosys03:48
*** npe has joined #yosys03:49
*** npe has joined #yosys03:50
*** npe has quit IRC03:50
*** npe has joined #yosys03:52
*** npe has joined #yosys04:05
*** npe has quit IRC05:14
*** npe has joined #yosys05:16
*** Vinalon has quit IRC05:29
*** Vinalon has joined #yosys05:45
*** _whitelogger has quit IRC06:09
*** _whitelogger has joined #yosys06:11
*** Ultrasauce has quit IRC06:13
*** rohitksingh has quit IRC06:13
*** promach3 has quit IRC06:13
*** kmehall has quit IRC06:13
*** GenTooMan has quit IRC06:13
*** awygle has quit IRC06:13
*** Ultrasauce has joined #yosys06:15
*** rohitksingh has joined #yosys06:15
*** promach3 has joined #yosys06:15
*** kmehall has joined #yosys06:15
*** GenTooMan has joined #yosys06:15
*** awygle has joined #yosys06:15
*** npe has quit IRC06:19
*** emeb_mac has quit IRC06:55
*** qu1j0t3 has quit IRC08:25
*** qu1j0t3 has joined #yosys08:27
pepijndevosWhat does a barebones asic synth script look like? Basically just synth and map to a liberty file08:48
daveshahYeah08:49
daveshahalso a dfflibmap to map DFFs to liberty08:49
daveshahSee the bottom of08:50
daveshahhttp://www.clifford.at/yosys/08:50
tpbTitle: Yosys Open SYnthesis Suite :: About (at www.clifford.at)08:50
*** janrinze has joined #yosys08:50
pepijndevosok, lets goooo08:50
pepijndevosit.... just worked??08:57
pepijndevosI took a UMC65 liberty file, removed a few items that Yosys chocked on, and synthesized a thing with it.08:57
daveshahCool!08:58
pepijndevosAll the cell names are so obscure though...08:59
pepijndevoshttps://bpaste.net/LL3A I don't know what *any* of those mean08:59
tpbTitle: View paste LL3A (at bpaste.net)08:59
pepijndevosThe main thing Yosys was not happy about was cells without a defined function on their output pins... which makes some amount of sense.09:01
pepijndevosI guess I could have used -ignore_miss_func instead09:01
pepijndevosCan I pass -ignore_miss_func to abc and dfflibmap?09:02
pepijndevoslol, if I pass -prepare to dfflibmap it leaves $_DFF_P_ cells... is that a bug? Docs say it converts to dff types found in the liberty09:04
daveshahNo, that's the point of -prepare09:06
daveshahIt converts flops to the closest internal cell type09:07
daveshahwithout actually mapping09:07
pepijndevosah I see09:07
pepijndevoshrm, it seems neither abc nor dfflibmap supports -ignore_miss_func09:09
*** vidbina_ has joined #yosys09:09
*** janrinze has quit IRC09:18
*** janrinze has joined #yosys09:56
*** vidbina_ has quit IRC10:34
*** janrinze has quit IRC10:41
*** ayazar has joined #yosys11:15
*** Vinalon has quit IRC12:23
*** X-Scale` has joined #yosys12:55
*** X-Scale has quit IRC12:57
*** X-Scale` is now known as X-Scale12:57
*** futarisIRCcloud has quit IRC13:44
*** emeb has joined #yosys14:31
*** npe has joined #yosys14:32
*** X-Scale` has joined #yosys14:50
*** X-Scale has quit IRC14:51
*** X-Scale` is now known as X-Scale14:51
*** dys has quit IRC15:14
*** Vinalon has joined #yosys15:34
*** npe has quit IRC15:51
*** citypw has quit IRC15:59
*** ayazar has quit IRC16:53
*** craigo has quit IRC17:00
*** twnqx has joined #yosys17:33
lambdanextpnr-ice40 is having a really hard time with placement right now, only 64% ICESTORM_LC usage and initial placement (with --placer sa) already fails because it can't place one of them :/18:24
daveshahTry --dffe_min_ce_use 4 passed to synth_ice4018:25
daveshah-dffe_min_ce_use18:25
daveshahThis usually results from large numbers of FFs with different control sets18:26
lambdahah, that does it :D still not sure what caused it to suddenly fail at all, it worked an hour ago and I swear I didn't change anything18:26
lambdaHeAP doesn't stand a chance with this design, too18:28
daveshahWhat is the context, a lot of set/resets and enables for some reason?18:29
lambdaSPI to 10x UART, so one giant 80-bit shift register and 10 8-bit shift registers18:30
lambdamight just be better to run the UART off a divided clock instead of a clock enable though, I think I have some global buffers left over18:31
*** voxadam_ has joined #yosys18:32
*** voxadam has quit IRC18:32
*** vidbina_ has joined #yosys18:57
lambdahm, I thought I remembered there being an option --device in nextpnr so I wouldn't have to use "--$(DEVICE)" in build scripts, but apparently not19:00
*** npe has joined #yosys19:02
*** npe has quit IRC19:16
qu1j0t3619:31
ZirconiumX519:36
daveshah419:37
lambda319:38
awygle219:39
*** rohitksingh has quit IRC19:43
qu1j0t3-219:44
awygleRude19:49
*** qu1j0t3 has quit IRC19:53
*** qu1j0t3 has joined #yosys19:59
*** az0re has quit IRC20:09
*** npe has joined #yosys20:21
*** emeb_mac has joined #yosys20:33
*** az0re has joined #yosys20:52
awygleOut of curiosity is libyosys maintained?21:54
daveshahIt certainly should work, although I don't know how well used it is21:55
awygleI see, thanks22:01
awygleDoes it expose the cpp api or a C wrapper?22:01
daveshahC++ API, there is no C wrapper22:03
daveshahThere is a Python wrapper22:03
awygleHuh. Would have thought that'd almost require a C wrapper22:04
daveshahWriting a C wrapper for Yosys would be an absolute nightmare22:05
daveshahConsidering all the different data structures22:05
awyglewell, sure lol22:11
awyglethat's why i was interested in if somebody'd done the work already :p22:12
daveshahStuff like the IdString ref counting would be interesting...22:12
*** az0re has quit IRC22:22
*** rohitksingh has joined #yosys22:41
*** vidbina_ has quit IRC22:44
*** futarisIRCcloud has joined #yosys23:05

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!