Saturday, 2020-04-18

*** tpb has joined #yosys00:00
*** Degi_ has joined #yosys00:18
*** Degi has quit IRC00:21
*** Degi_ is now known as Degi00:21
*** Vinalon has quit IRC00:42
*** Vinalon has joined #yosys00:47
*** emeb_mac has joined #yosys00:59
*** Vinalon has quit IRC01:23
*** Vinalon has joined #yosys01:24
*** npe has joined #yosys01:25
*** craigo has joined #yosys01:28
*** citypw has joined #yosys01:36
*** adjtm has quit IRC02:04
*** adjtm has joined #yosys02:05
*** npe has quit IRC02:30
*** emeb has quit IRC02:51
*** npe has joined #yosys02:55
*** Thorn has joined #yosys04:31
*** az0re has quit IRC04:56
*** npe has quit IRC05:47
*** emeb_mac has quit IRC06:32
*** _whitelogger has quit IRC07:21
*** _whitelogger has joined #yosys07:23
*** ashfaq1717 has joined #yosys08:10
ashfaq1717how to convert NOR2 to NOR3 using techmap08:11
daveshahDo you mean one NOR2 to one NOR3? Techmap isn't for combining cells08:15
whitequarkwhee~ https://github.com/YosysHQ/yosys/pull/196308:16
tpbTitle: cxxrtl: add support for simple and templated C++ black boxes by whitequark · Pull Request #1963 · YosysHQ/yosys · GitHub (at github.com)08:16
ashfaq1717i have replaced nor 3 to combinations of nor 2 similarly I want to do vice versa08:17
daveshahextract is intended to be the opposite of techmap08:18
ashfaq1717can you please write me the verilog code for extract map nor2 nor308:20
ashfaq1717can I put this on github?08:28
ashfaq1717module NOR3X1(input A, B, C, output Y);wire first_output;NOR2X1 first(.A(A), .B(B), .Y(first_output));NOR2X1 second(.A(first_output), .B(C), .Y(Y));endmodule08:29
ashfaq1717this was nor3 to nor , I want a code for nor2 to nore308:29
daveshahWhat happens when you do extract with that file?08:30
ashfaq1717I don't know exact syntax how I connect signals of nor2 to nor3 as I done for the above, I want a file which can do this using extract or techmap08:34
ashfaq1717can I extract with the same file?08:35
*** twnqx has joined #yosys08:38
ZirconiumXashfaq1717: that's what daveshah is asking you to do08:40
ZirconiumXBesides, couldn't you at least thank me for that code?08:40
ZirconiumXCongrats, whitequark08:41
whitequarkthnks!08:42
ashfaq1717when I use extract map with the above file it says 0 matches found it means we can't extract with the same file08:46
ashfaq1717thank u ZirconiumX but I couldn't understand your code08:46
ZirconiumXashfaq1717: how come? You literally straight-up copied it08:48
ZirconiumXhttps://github.com/YosysHQ/yosys/issues/1891#issuecomment-61200371208:48
tpbTitle: How to convert one cell to a combination of other cells? · Issue #1891 · YosysHQ/yosys · GitHub (at github.com)08:48
ashfaq1717brother its Ok, it replaces the cells nor3 to nor2 I have checked it, but doing vice versa with extract it dosn't replace nor2s to nor 308:50
* ZirconiumX sighs in being non-binary08:51
ashfaq1717any solution?08:54
ZirconiumXI've never used extract because I've never needed to use extract08:55
ashfaq1717its Ok. any one else?08:56
ZirconiumXThe thing is, by the time you need to use extract it is too late to do the thing you want to, generally08:56
ZirconiumXIt's far better to use techmap to break high-level things down into what you want, than to use extract to build up into what you want08:57
ashfaq1717can you give me a example08:59
ZirconiumXSay you want to make a specific adder08:59
ZirconiumXIt's best to try mapping either $add or ideally $alu to build the adder out of the cells you want09:00
ZirconiumXRather than try to build an adder from extracting half and full adders09:00
*** ashfaq1717 has quit IRC09:15
*** dys has joined #yosys09:38
*** ashfaq1717 has joined #yosys10:25
ashfaq1717how to convert DFFSR to DFF_P or DFF_N? what are benifits of doing so while Yosys automatically map to DFFSR?10:27
ZirconiumXashfaq1717: dffsr2dff10:28
ashfaq1717yup10:28
daveshahThat's been removed10:28
ZirconiumXAnd the benefits are that the DFF cells are simpler, use less area and need less routing.10:29
daveshahopt_rmdff does everything it did10:29
ZirconiumXSince I'm on my phone I don't have access to the latest docs, and Claire's only get regenerated when there's a major release10:29
ashfaq1717from where can i get dffsr2dff file10:37
ZirconiumXashfaq1717: since opt_rmdff replaces it, use opt_rmdff instead10:38
ashfaq1717ok10:38
ZirconiumXWhich is probably run by default, actually10:41
mwkwhitequark: that isn't the pull request that you needed stable avail_parameters order for, right?10:41
whitequarkmwk: nope, turns out i didn't need it after all10:45
mwkok, fair enough :)10:46
ashfaq1717please refer me dffsr2dff file I want to see the difference by using it10:47
mwkashfaq1717: just dig it out from git, it was removed in https://github.com/YosysHQ/yosys/commit/38a0c30d65584335fee3e17f9075711853638ac310:50
tpbTitle: Get rid of dffsr2dff. · YosysHQ/yosys@38a0c30 · GitHub (at github.com)10:50
ashfaq1717hmm10:51
mwkbut it really is just a subset of opt_rmdff10:54
mwkdoing the same transform, but badly10:54
ashfaq1717i synthesis a simple alu, run yosys script after abc , i use extract map to replace gate cells with a macro add cell but same result found no match as for nor3 previously,10:59
lambdaashfaq1717: why do you want to do that though?10:59
ashfaq1717I want to create an example how can I reduce the area by replacing many cells with a macro cell11:00
ashfaq1717i am unable to synthesis a design to split it in gate cells and then map these cells to a macro cell11:23
ashfaq1717kindly refer me some xample11:23
*** craigo has quit IRC12:32
*** craigo has joined #yosys12:35
*** Vinalon has quit IRC12:45
*** X-Scale` has joined #yosys12:56
*** X-Scale has quit IRC12:57
*** X-Scale` is now known as X-Scale12:57
*** ashfaq1717 has quit IRC13:15
*** vidbina_ has joined #yosys13:38
*** vidbina_ has quit IRC13:54
*** craigo has quit IRC14:42
*** citypw has quit IRC14:43
*** citypw has joined #yosys14:44
*** Vinalon has joined #yosys14:51
*** citypw has quit IRC15:33
*** npe has joined #yosys15:48
*** ayazar has joined #yosys16:10
*** adjtm has quit IRC16:19
*** adjtm has joined #yosys16:19
*** adjtm has quit IRC16:26
*** vidbina_ has joined #yosys16:49
*** Thorn has quit IRC17:19
*** Thorn has joined #yosys17:25
*** tux3 has quit IRC17:35
*** tux3 has joined #yosys17:35
*** emeb has joined #yosys17:36
*** FFY00 has quit IRC18:17
*** FFY00 has joined #yosys18:18
*** vidbina_ has quit IRC19:02
*** vidbina_ has joined #yosys19:41
*** az0re has joined #yosys20:19
*** ayazar has quit IRC20:23
*** Vinalon has quit IRC20:32
*** Vinalon has joined #yosys20:36
*** twnqx has quit IRC21:16
*** Vinalon has quit IRC21:23
*** vidbina_ has quit IRC21:35
*** Vinalon has joined #yosys21:45
*** Vinalon has quit IRC22:14
*** Vinalon has joined #yosys22:19
*** emeb_mac has joined #yosys23:55

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!