Friday, 2020-04-17

*** tpb has joined #yosys00:00
*** Degi_ has joined #yosys00:19
*** Degi has quit IRC00:23
*** Degi_ is now known as Degi00:23
*** emeb has quit IRC00:25
*** emeb_mac has joined #yosys00:30
*** az0re has quit IRC01:54
*** adjtm has quit IRC02:02
*** citypw has joined #yosys02:03
*** adjtm has joined #yosys02:03
*** brasilino has quit IRC02:16
*** npe has joined #yosys02:16
*** Vinalon has quit IRC04:36
*** Jybz has joined #yosys04:36
*** Vinalon has joined #yosys04:49
*** az0re has joined #yosys05:04
*** filt3r has quit IRC05:10
*** markus-k has quit IRC05:10
*** Degi has quit IRC05:14
*** filt3r has joined #yosys05:15
*** markus-k has joined #yosys05:15
*** Degi has joined #yosys05:16
*** Jybz has quit IRC05:19
*** elGamal has quit IRC06:19
*** npe has quit IRC06:21
*** npe has joined #yosys06:22
*** elGamal has joined #yosys06:23
*** emeb_mac has quit IRC06:26
*** dys has joined #yosys06:41
*** vidbina_ has joined #yosys07:14
*** jakobwenzel has joined #yosys07:48
*** craigo has joined #yosys07:55
*** twnqx has joined #yosys08:07
*** vidbina_ has quit IRC08:40
*** ayazar has joined #yosys08:57
*** captain_morgan has quit IRC09:12
*** captain_morgan has joined #yosys09:13
*** N2TOH has joined #yosys09:40
*** N2TOH_ has quit IRC09:44
*** FFY00 has quit IRC09:46
*** FFY00 has joined #yosys09:47
*** FFY00 has quit IRC09:49
*** FFY00 has joined #yosys09:49
*** vidbina_ has joined #yosys09:55
*** Vinalon has quit IRC10:32
Sarayanrtlil question: https://og.kervella.org/t.txt10:50
Sarayanextract of verilog follow by extract of rtlil (generated by the current frontend)10:50
SarayanWhy is there $0\cnt[20:0] ?10:50
Sarayanand if it's just "comb the intermediate value, then update on edge", why is there the assign $0\cnt[20:0] \cnt ?10:50
daveshahI'm not sure if it helps, but there is some background on this in the Yosys manual10:51
whitequarkSarayan: yup. please read the manual, if it's still not clear, i can go into detail10:52
whitequarkbut it would take a long time to write in a comprehensive way, so i'd prefer if you read the manual first10:52
*** vidbina_ has quit IRC11:04
*** FFY00 has quit IRC11:17
*** FFY00 has joined #yosys11:21
*** ashfaq1717 has joined #yosys12:41
ashfaq1717How to replace basic logic cells (Nand,Not etc ) with a macro cell (i.e. adder)12:41
ashfaq1717If in a design there is an adder implemented by basic logic cells i.e. nand, not, etc, how can I identify that adder and replace with a macro adder cell to replace the logic cells?12:42
mwkfirst of all, what exactly are you trying to do? synthesis on some weird target that has an adder macro? reverse engineering an IC?12:46
mwkright now yosys only has the extract_fa pass which gives you full adder cells12:47
mwkit would probably be reasonably easy to make another pass that takes that and tries to chain them into proper $alu / $add / whatever chains12:47
mwkbut there's no such thing yet12:47
mwkmy main question is how did you end up with a netlist that only has basic logic cells12:48
*** ashfaq1717 has quit IRC13:25
*** stroboko1p has joined #yosys13:26
Sarayanok, found it in the manual, and I see, it's just a default.  But it's good to have a default, because you don't have to prove anything w.r.t completion of paths13:37
Sarayanand yeah, that part of the manual really clarifies things, thanks for pointing me to it13:38
ZirconiumXmwk: I'm assuming from the other issues that they've filed, they're doing ASIC synthesis13:39
ZirconiumXBut they're gone now, so13:39
mwkI'm assuming as much, in which the right thing to do is recognize the damn $add cells *before* they end up as gates13:40
mwkhence my questions13:40
ZirconiumXOr possibly $alu13:46
ZirconiumXBut anyway13:46
daveshahThe advantage of mapping them at all for ASIC is much less than for FPGA13:46
daveshahWith the current state of ASIC synthesis in Yosys, the lack of optimisations caused by the hard logic is probably costing more area than they save13:47
daveshahAnd there isn't really a timing advantage because between full adder standard cells and a full adder out of component cells afaik13:47
daveshahCertainly nowhere near the timing advantage from an FPGA carry chain13:48
*** voxadam has quit IRC14:03
*** voxadam has joined #yosys14:22
*** emeb has joined #yosys14:31
*** vidbina_ has joined #yosys14:32
ZirconiumXSo, at present, synth_intel_alm doesn't - can't, really - support RAM init14:34
ZirconiumXThat makes e.g. nMigen designs with zero-initialised RAM get turned into flops14:35
ZirconiumXBut I know that if LUTRAM isn't given an init parameter it defaults to zero14:36
ZirconiumXSuppose I mark the LUTRAM as initialisable (even though it's not) and if INIT=0 instantiate a LUTRAM anyway14:36
ZirconiumXHow would I fall back to flops in case INIT != 0?14:36
mwk... we need an "initializable, but only to 0" marker for rams14:37
mwkeg. xilinx ultraram is genuinely this way14:37
daveshahXCUP URAM also needs this14:37
daveshahyeah14:37
daveshahI need to check, but UltraPlus SPRAM might be too14:38
ZirconiumXOkay, it's useful to know I'm not alone here14:39
*** jakobwenzel has quit IRC14:39
*** citypw has quit IRC14:40
ZirconiumXhttps://github.com/YosysHQ/yosys/issues/195814:54
tpbTitle: memory_bram should have a "RAM initialises to zero" parameter · Issue #1958 · YosysHQ/yosys · GitHub (at github.com)14:55
mwkhmm, wasn't there an issue for that already...14:55
mwkhuh, apparently not14:56
*** Vinalon has joined #yosys15:08
*** vidbina_ has quit IRC15:10
daveshahThe other outstanding issue is BRAM output register initialisation, which I tried to fix a few months ago but got too fed up with memory_bram15:14
mwk... this seems to be a general problem with fixing BRAM things15:16
mwkyou know what15:16
mwkI'm getting tired of this15:16
mwkas soon as I'm done with the current FF redesign saga, I'm starting the blockram inference redesign saga15:17
mwkso help me gods15:17
* ZirconiumX snorts15:17
ZirconiumXGood luck, mwk15:17
lambdashe's offering to lose her sanity so we can keep ours - that's bravery right there15:27
ZirconiumXlambda: you already have to lose your sanity to have a hobby such as ours15:29
*** jfcaron has joined #yosys15:31
lambdaZirconiumX: fair enough - but you can always bang your head against the wall just a little harder15:32
ZirconiumXlambda: Can I use your head instead?15:35
* attie adds "Famous Last Words" to the playlist for this evening15:35
mwk... oh gods15:36
mwkyou're going to torture me again, aren't you15:36
attieno, this one is a normal song15:36
attiealso, I just discovered that MCR also did a song with that name15:37
mwk... they did15:37
attieI was thinking of the Zeromancer song15:37
lambdaZirconiumX: and here I naively thought I could escape the depths of toolchain hell by pretending to just be a user... no chance, it pulls you right in15:37
attieit's just an inoffensive rock song15:38
ZirconiumXlambda: Are you reading my Yosys synth_intel_alm thread? :P15:42
ZirconiumXhttps://twitter.com/ZirconiumX/status/125117350956655002515:42
lambdaZirconiumX: oh dear15:44
lambdawhat a truly pleasant development environment15:44
ZirconiumXlambda: The main reason to use Yosys for synthesis instead of Quartus is that Yosys doesn't spend forever screaming at you for slight mistake15:51
ZirconiumXs15:51
*** alexhw has quit IRC16:04
*** dys has quit IRC16:17
*** Vinalon has quit IRC16:46
*** Vinalon has joined #yosys16:46
*** npe has quit IRC16:50
*** craigo has quit IRC17:17
*** elGamal has quit IRC17:51
*** elGamal has joined #yosys17:52
*** adjtm has quit IRC17:53
*** adjtm has joined #yosys17:54
mwkhttps://github.com/YosysHQ/yosys/issues/1959 feel free to mention important things I forgot17:56
tpbTitle: Memory inference redesign · Issue #1959 · YosysHQ/yosys · GitHub (at github.com)17:56
*** klotz has joined #yosys19:03
*** Vinalon has quit IRC19:24
lambdahaha what even is this http://ix.io/2ir019:31
lambdareorder alll the bits19:31
ZirconiumXlambda: Did..did you just attach a PNG as a test file?19:32
ZirconiumX*text19:32
lambdahuh? I just pushed a screenshot to ix.io, works fine when viewed in a browser here19:32
ZirconiumXFirefox presents it with text encoding19:32
lambdableh19:32
lambdayou're right, "Content-Type: text/plain", seems qutebrowser just completely ignores that and shows it as an image anyway, fun19:34
*** stroboko1p has quit IRC19:36
*** npe has joined #yosys19:41
*** Vinalon has joined #yosys19:44
*** vidbina_ has joined #yosys19:49
*** klotz has quit IRC20:03
*** ayazar has quit IRC21:01
*** vidbina_ has quit IRC21:12
*** jfcaron has quit IRC22:18
Sarayan;3A;3A/jmi22:20
SarayanLN: What's the PMC?22:20
Sarayangah22:20
*** X-Scale` has joined #yosys23:03
*** X-Scale has quit IRC23:05
*** X-Scale` is now known as X-Scale23:05
*** twnqx has quit IRC23:16
*** Thorn has quit IRC23:36
*** npe has quit IRC23:42
*** Vinalon has quit IRC23:55
*** Vinalon has joined #yosys23:56

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!