Thursday, 2019-12-19

*** tpb has joined #yosys00:00
*** emeb has quit IRC00:19
*** dh73 has quit IRC00:24
*** emeb_mac has joined #yosys00:37
*** klotz has quit IRC01:04
*** rohitksingh has quit IRC01:34
*** rohitksingh has joined #yosys02:04
*** rohitksingh has quit IRC02:25
*** rohitksingh has joined #yosys02:33
*** attie has joined #yosys02:33
*** attie has quit IRC02:38
*** rohitksingh has quit IRC02:48
*** GenTooMan has quit IRC03:19
*** dh73 has joined #yosys03:34
*** rohitksingh has joined #yosys03:34
*** dh73 has quit IRC04:00
*** rohitksingh has quit IRC04:08
*** rohitksingh has joined #yosys05:24
*** rohitksingh has quit IRC05:32
*** _whitelogger has quit IRC05:54
*** _whitelogger has joined #yosys05:57
*** _whitelogger has quit IRC06:12
*** _whitelogger has joined #yosys06:15
*** FabM has joined #yosys07:47
*** pie_ has quit IRC08:36
*** attie has joined #yosys08:54
*** dys has joined #yosys09:38
*** rohitksingh has joined #yosys09:40
*** fsasm has joined #yosys10:33
*** d0nker5 has joined #yosys11:57
*** heijligen has joined #yosys12:22
*** pie_ has joined #yosys12:47
*** pie_ has quit IRC13:28
*** pie_ has joined #yosys13:32
*** pie_ has quit IRC14:38
*** emeb has joined #yosys14:50
*** pie_ has joined #yosys14:50
*** dh73 has joined #yosys15:36
*** FabM has quit IRC16:07
*** dh73 has quit IRC16:24
*** alexhw has quit IRC16:50
*** alexhw has joined #yosys16:51
develonepi3mmicko are you online17:05
develonepi3davehah GM. Is QT5 needed for nextnpr only with GUI?17:15
daveshahYes it's only needed for the gui17:23
daveshahIt shouldn't be required if you build without the GUI17:23
develonepi3davehah Thanks17:36
*** dh73 has joined #yosys17:38
develonepi3daveshah Thanks.  I am having an issue with strip in yosys while cross compiling I needd to pass --input-target=elf64-littleaarch64. Any idea?17:41
daveshahNo, I have not seen an issue like that before17:41
*** fsasm has quit IRC17:52
*** Jybz has joined #yosys18:26
*** X-Scale has quit IRC18:29
*** X-Scale` has joined #yosys18:31
*** X-Scale` is now known as X-Scale18:32
*** dys has quit IRC18:52
*** meawoppl has joined #yosys19:30
meawopplheyo, got a weird question for the Yosys crew19:31
meawopplis there a way on the ice40 to use an input as both a lvds pair, and normal input pin?19:36
meawopplI am trying to detect the mipi transition to HS mode, which looks logic-low of both of the paired elements, but I am unclear how to wire that19:37
meawopplwhen I do the intuitive route I get something like:19:37
meawoppl```ERROR: PACKAGE_PIN of SB_IO 'myCamera.myMIPI.lp_compare' connected to more than a single top level IO.ERROR: Packing design failed.```19:37
daveshahNo, LVDS mode cannot be used at the same time as a normal input pin19:37
daveshahYou would have to connect the MIPI signals to two pairs of pins separately19:38
daveshahuse one as LVDS and one as single ended (the latter needs to be either 1.2V IO or using two pairs and the LVDS comparators against a 0.6V threshold)19:38
*** rohitksingh has quit IRC19:40
meawopplgotcha, thanks daveshah!19:41
meawopplI have been looking at your implementations of more sophisticated bits for help btw: https://github.com/daveshah1/CSI2Rx/blob/master/vhdl_rx/mipi-csi-rx/csi_rx_hs_lane_phy.vhd19:41
tpbTitle: CSI2Rx/csi_rx_hs_lane_phy.vhd at master · daveshah1/CSI2Rx · GitHub (at github.com)19:42
meawopplIts also been an interesting process trying to decode a signal that I don't have the spec for ;p19:44
meawopplthankfully there are a fair number of good refs out there19:44
*** Marex has quit IRC19:45
*** forrestv has quit IRC19:45
*** forrestv has joined #yosys19:50
*** Jybz has quit IRC20:00
*** Twix has quit IRC20:06
*** tnt has quit IRC20:06
*** anuejn has quit IRC20:06
*** tnt has joined #yosys20:06
*** Twix has joined #yosys20:06
*** Marex has joined #yosys20:17
*** nrossi has quit IRC20:19
whitequarkmeawoppl: you need mipi specs?20:26
whitequarkhttps://twitter.com/crabbyraccoon/status/112877938138254950420:26
whitequarker20:26
whitequarkdon't click that please20:26
whitequarkhttps://cloud.whitequark.org/s/32TAFkge8RB4oLS20:26
tpbTitle: whitequarks cloud (at cloud.whitequark.org)20:27
whitequarksorry for mispaste20:27
ZirconiumXPffft20:31
whitequarknextcloud has a nasty tendency to update the clipboard with a small delay20:32
whitequarkusually it's benign20:32
meawopplepic mispaste ;p20:32
meawopplbut20:32
meawopplsuper thanks20:33
sorearI’ve seen worse20:33
*** attie has quit IRC20:33
*** dh73 has quit IRC20:53
*** adjtm has joined #yosys21:19
*** adjtm_ has quit IRC21:19
*** s_frit has quit IRC21:40
*** s_frit has joined #yosys21:40
*** piegames1 has joined #yosys22:25
*** flokli has joined #yosys22:26
*** ebb has quit IRC22:32
*** dkozel has quit IRC22:33
*** ebb has joined #yosys22:34
*** dkozel has joined #yosys22:34
piegames1https://github.com/ZipCPU/wb2axip/blob/master/bench/formal/faxil_slave.v#L28622:36
tpbTitle: wb2axip/faxil_slave.v at master · ZipCPU/wb2axip · GitHub (at github.com)22:36
piegames1`if ((f_past_valid)&&($past(i_axi_reset_n)))`22:36
piegames1zipcpu: Why does it check the reset on the *last* cycle instead of the current one?22:36
ZirconiumXI think it's so the condition triggers after at least one cycle has passed22:40
ZirconiumX(i.e. not on the very first cycle)22:40
*** develonepi3 has quit IRC23:13
piegames1ZirconiumX: I think you misunderstood me. I'm not asking why `f_past_valid` is checked, but why `$past(i_axi_reset_n)` is used instead of `i_axi_reset_n`.23:42
ZirconiumXpiegames1: that's what I was answering23:43
ZirconiumX$past does not trigger on the first cycle as I understand it23:43
piegames1My concrete problem is: I have a situation where VALID is set but not READY. The assertions state that VALID must hold until READY was set. But if I have a RESET during this situation, there is one cycle where my valid went low (because of the reset), but the assert still triggers because the if only checks that there was no reset in the *last* cycle. Therefore, this fails verification of my design23:47
piegames1even if I think the bevhaviour of my component is correct.23:47
*** develonepi3 has joined #yosys23:49

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!