Wednesday, 2019-12-18

*** tpb has joined #yosys00:00
*** _whitelogger has quit IRC00:24
*** _whitelogger has joined #yosys00:27
*** rohitksingh has joined #yosys00:36
*** Xiretza has quit IRC00:40
*** Ultrasauce has quit IRC00:40
*** srk has quit IRC00:40
*** grummel has quit IRC00:40
*** Ultrasauce has joined #yosys00:40
*** grummel has joined #yosys00:40
*** srk has joined #yosys00:40
*** dh73 has quit IRC00:42
*** emeb has quit IRC01:05
*** s_frit has quit IRC02:35
*** s_frit has joined #yosys02:36
*** ZipCPU has joined #yosys03:25
*** attie has joined #yosys03:31
*** attie has quit IRC03:35
*** dh73 has joined #yosys05:31
*** dh73 has quit IRC05:53
*** rektide has quit IRC06:36
*** rektide has joined #yosys06:37
*** s_frit has quit IRC06:39
*** s_frit has joined #yosys06:39
*** X-Scale has quit IRC07:58
*** X-Scale` has joined #yosys07:58
*** X-Scale` is now known as X-Scale07:59
*** m4ssi has joined #yosys08:07
*** jakobwenzel has joined #yosys08:10
*** s_frit has quit IRC08:28
*** s_frit has joined #yosys08:28
*** dys has joined #yosys09:07
mkurcZirconiumX: The solution that you suggested 'assign reset_val = (input_reset === 1'b0) ? 1'b0 : 1'b1' is not suitable for me. If I write such a statement in the techmap then it makes Yosys infer $mux cell.09:11
mkurcZirconiumX: I need a way to determine whether a signal is unconnected inside a generate statement. The condition has to be constant during synthesis.09:12
*** dys has quit IRC09:16
*** dys has joined #yosys09:37
ZirconiumXmkurc: But as far as I can tell, Yosys can't do that at present, presumably because nobody thought you'd need to check for a port being disconnected.10:28
whitequarkI think there is10:29
whitequark_TECHMAP_CONST{MSK,VAL}_ and _TECHMAP_CONNMAP_<PORT>_ can do this, no?10:29
whitequarkof course, it's yosys-specific10:29
ZirconiumXWe tried that10:29
whitequarkah10:30
ZirconiumXActually, not CONNMAP.10:30
ZirconiumXMaybe worth trying that, mkurc10:30
*** fsasm has joined #yosys10:32
*** jakobwenzel has quit IRC10:46
*** jakobwenzel has joined #yosys10:46
daveshahVerilog does support default port values (eg input wire rst = 1'b1)10:47
daveshahBut I don't know if this works with techmap10:47
*** attie has joined #yosys11:18
*** rohitksingh has quit IRC12:24
*** pepijndevos[m] has quit IRC13:23
*** promach3 has quit IRC13:24
*** nrossi has quit IRC13:24
*** fevv8[m] has quit IRC13:24
*** d0nker5 has quit IRC14:36
*** d0nker5 has joined #yosys15:13
*** emeb has joined #yosys15:15
*** nengel has joined #yosys15:51
*** attie has quit IRC15:53
*** nengel is now known as attie15:58
*** dh73 has joined #yosys16:19
*** m4ssi has quit IRC16:21
*** fsasm has quit IRC16:59
*** dys has quit IRC17:11
develonepi3mmicko Have you used Yocto? I have a Ubuntu system builds rpi4 which creates deb packages and rpi4-64 which creates rpm package.  This appears to be a pretty good feature.  Now if you I can just get nextpnr, yosys, and arachne-pnr to build.  Yosys compiles but is getting a QA error Unable to recognise the format on yosys. Nextpnr I need to learn how to execute cmake -DARCH=ice40 . in a recipe.  Makefiles just use oe_runmake.17:23
develonepi3mmicko I now have icestorm_0.1+git0+041c075e4a-r0_armhf.deb or icestorm-0.1+git0+041c075e4a-r0.aarch64.rpm17:26
develonepi3mmicko arachne-pnr I need to fix the use of sum which is in the Makefile. The sum which is in coreutils & busybox.17:30
*** d0nker5 has quit IRC17:41
*** nrossi has joined #yosys17:49
*** promach3 has joined #yosys17:49
*** pepijndevos[m] has joined #yosys17:49
*** fevv8[m] has joined #yosys17:49
*** X-Scale has quit IRC18:13
*** X-Scale` has joined #yosys18:16
*** X-Scale` is now known as X-Scale18:17
*** Jybz has joined #yosys18:30
*** attie has quit IRC18:36
*** meawoppl has joined #yosys19:22
meawopplheyo heyo19:22
meawopplI have another small issue to report19:22
meawoppl*two small issues19:24
meawopplI think using yosys as a total n00b to verilog has help me turn up the weird19:24
meawopplbug #1:19:25
meawopplbus assignment is ignored in weird ways:19:25
meawoppl`thing[7:0] <= otherThing[7:0];`19:25
meawopplbug #2:19:26
meawopplmy IDE automatically adds:19:26
meawoppl`endmodule : ModuleName` which yosys seems to dislike...19:27
meawopplvs. just `endmodule`19:27
daveshahNot sure about #2, I'd have to check the standard. Can you explain #1 better?19:32
meawopplyeah, there are a bunch of permutations that seem to be quietly ignored:19:33
meawopplso I think the vaslid way to do this is w/o the array notation:19:33
meawoppl`thing <= otherThing[7:0];` Which seems to work as expected19:34
meawoppl`thing <= otherThing[0:7];` is ignored (no bus endian swap?)19:35
meawopplI am not sure which are/are not legal19:35
* ZirconiumX is very glad they're not a language lawyer who has to deal with these kinds of questions19:36
daveshahI don't think that does a bus endian swap19:36
meawopplI think it isn't valid honestly, but it does get treated somehow strangly under the hood vs. a raised syntax exception or similar19:37
*** Jybz has quit IRC19:45
*** Xiretza has joined #yosys19:52
*** rohitksingh has joined #yosys20:01
*** cr1901_modern has quit IRC20:05
*** rohitksingh has quit IRC20:12
*** rohitksingh has joined #yosys20:13
*** rohitksingh has quit IRC20:33
*** adjtm_ has joined #yosys21:03
*** adjtm has quit IRC21:05
*** rohitksingh has joined #yosys21:12
*** cr1901_modern has joined #yosys21:16
*** klotz has joined #yosys21:27
*** TD-Linux has quit IRC21:36
*** TD-Linux has joined #yosys21:36
*** rohitksingh has quit IRC21:55
*** meawoppl has quit IRC23:06
*** rohitksingh has joined #yosys23:47

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!