Tuesday, 2019-10-29

*** tpb has joined #yosys00:00
*** emeb_mac has joined #yosys00:14
*** attie has joined #yosys00:45
*** attie has quit IRC00:50
*** emeb has quit IRC00:56
*** mirage335 has quit IRC02:12
*** kraiskil has joined #yosys02:25
*** futarisIRCcloud has joined #yosys02:34
*** PyroPeter has joined #yosys03:25
*** craigo has joined #yosys03:36
*** Noname_Matt has joined #yosys04:30
*** cr1901_modern has joined #yosys05:06
*** _whitelogger has quit IRC06:12
*** _whitelogger has joined #yosys06:14
*** emeb_mac has quit IRC06:35
*** Noname_Matt has quit IRC06:50
*** kraiskil has quit IRC07:28
*** attie has joined #yosys07:37
*** attie has quit IRC08:02
*** proteus-guy has joined #yosys08:03
*** attie has joined #yosys08:23
*** vidbina has joined #yosys08:44
*** vidbina has quit IRC09:35
*** kraiskil has joined #yosys10:22
*** fsasm has joined #yosys11:10
*** tlwoerner has quit IRC12:31
*** vidbina has joined #yosys12:41
*** vidbina has quit IRC13:06
*** attie has quit IRC13:08
*** X-Scale has quit IRC13:31
*** X-Scale has joined #yosys13:32
*** stzsch has quit IRC13:44
*** stzsch has joined #yosys13:45
*** tlwoerner has joined #yosys13:52
*** proteus-guy has quit IRC14:05
*** attie has joined #yosys14:18
*** attie has quit IRC14:38
*** gatin00b has joined #yosys14:44
*** emeb has joined #yosys14:58
*** gatin00b has quit IRC15:09
*** attie has joined #yosys15:57
*** kraiskil has quit IRC15:58
*** vidbina has joined #yosys16:26
*** fsasm has quit IRC17:41
ZirconiumXSo, `help memory_bram` talks about `enable X` which is the number of "enable bits". Are these clock enables? Byte enables? Read/Write enables?18:09
daveshahLatter two18:10
daveshahread/write enables18:10
daveshah`enable X` means X read/write enables for a port each enabling a "byte" of width W/X (W being the width of the port)18:11
daveshahas an example, a 32-bit port with 8-bit byte enables would have `enable 4 ...`18:11
ZirconiumXRight, okay18:11
*** craigo has quit IRC18:28
*** attie has quit IRC18:36
*** vidbina has quit IRC18:36
ZirconiumXIf I do something like `input [31:0] A, B;`, how wide is B? 32 or 1?18:40
ZirconiumXGiven this is Verilog, I figured I'd best ask before deciding18:41
*** attie has joined #yosys19:04
rvenseor maybe they get sixteen bits each19:10
ZirconiumXGod I hope not.19:14
rvensethe nets "A", "," and "B" are each 10 2/3 bit19:17
rvense... i think the real answer is 32. i've used it like that, at least, in verilog that does what i expect.19:18
ZipCPUNo, no, no ...19:24
ZipCPUinput [31:0] A, B; would declare two 32-bit inputs, A and B19:25
ZipCPUIf you use: `default_nettype none (highly recommended) then this should read: input wire [31:0] A, B;19:25
ZirconiumXI'm writing Yosys techmap passes, and mapping primitives is not a very fun process19:26
ZipCPUEnjoy ;)19:36
* ZirconiumX cries19:36
ZirconiumXBecause of the lack of documentation on what I'm trying to do, I'm resorting to essentially compiler-driven development19:37
ZirconiumXExcept that with error messages such as "WYSIWYG RAM primitive has too many bits", I've kinda hit a brick wall here.19:38
ZipCPUSigh.20:06
ZipCPUOne of those things I've never tried to do is to write my own techmap passes20:06
ZirconiumXI mean, techmap *is* a useful thing.20:06
ZipCPUOthers here on the channel have.  Hopefully they'll be able to pipe up with a word (or two) of encouragement20:07
ZipCPUWhat sort of items are you trying to map?20:07
*** gatin00b has joined #yosys20:23
ZirconiumXhttps://gist.github.com/ZirconiumX/6f3686329ddb10abd33218d1643eb5cd20:33
tpbTitle: errors.txt ยท GitHub (at gist.github.com)20:33
ZirconiumXBlock RAM and LUT RAM20:34
ZirconiumXIronically, Quartus itself doesn't instantiate primitive RAM blocks directly but instead instantiates a megafunction to lower to the correct primitive20:34
ZipCPUCyclone-V?20:35
ZirconiumXZipCPU: ^20:35
ZirconiumXYep20:35
ZipCPUYeah, I've done some work with Quartus tools myself20:35
ZipCPUJust not with my Cyclone_V and Yosys (yet)20:35
ZipCPUDoes Yosys support defparam?  I didn't think so ...20:35
ZirconiumXYou're looking at basically the lone person for Yosys-on-Cyclone-V20:35
ZirconiumXwrite_verilog -defparam20:36
ZipCPUAh, okay20:36
ZirconiumXI think Quartus supports new-style parameter definitions #(...) but since synth_intel uses this, I'm following them20:36
ZipCPUSo ... is yosys doing the techmap only to have Quartus choke?  Or is Yosys itself choking on the techmap?20:37
ZirconiumXQuartus is the one choking on this20:37
ZirconiumXI'm writing a techmap pass to produce this code20:37
ZipCPUIs this the "right" code for what you are trying to create?20:37
ZirconiumXExcept I'm having issues with the Quartus primitives20:37
ZirconiumXI have no idea what the "right" code is, because Quartus primitives have no public documentation20:38
ZipCPUWhy not instantiate the same underlying design in Quartus and see what it does with it?20:38
ZirconiumXsynth_intel uses an altsyncram megafunction for this, but I have a distaste for the Altera IP library20:39
* ZipCPU shares ZirconiumX's distaste20:40
ZirconiumXI helped 'quark with adding Quartus support to nMigen20:41
ZirconiumXThe IP library is horrendously inconsistent20:41
ZipCPUYosys hasn't done the CV before, tho, right?20:41
ZipCPU(CV = Cyclone-V)20:42
ZirconiumXIt does, kinda, but the previous "maintainer" - dh73 - used a Cyclone IVe, and the two families are fairly different20:42
ZirconiumXSo I have a custom script flow for Cyclone V, which includes things like LUTRAM and BRAM mapping20:43
ZirconiumXsynth_intel has no LUTRAM mapping, and I had to disable BRAM mapping for CV because it tries to instantiate a CIV BRAM cell on a CV20:45
*** emeb_mac has joined #yosys20:45
*** attie has quit IRC20:51
*** futarisIRCcloud has quit IRC20:54
*** futarisIRCcloud has joined #yosys21:01
*** kraiskil has joined #yosys21:37
*** kraiskil has quit IRC22:01
*** kuldeep has quit IRC22:59
*** futarisIRCcloud has quit IRC23:04
*** futarisIRCcloud has joined #yosys23:05
*** emeb has quit IRC23:33
*** mirage335 has joined #yosys23:38

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!