Wednesday, 2019-09-25

*** tpb has joined #yosys00:00
*** emeb has quit IRC00:01
*** hughhalf has joined #yosys01:17
*** rohitksingh has joined #yosys01:49
*** rohitksingh has quit IRC01:56
*** rohitksingh has joined #yosys01:59
*** PyroPeter has joined #yosys02:25
*** citypw has joined #yosys02:59
*** rohitksingh has quit IRC03:29
*** rohitksingh has joined #yosys03:30
*** indy has quit IRC03:31
*** citypw has quit IRC03:38
*** citypw has joined #yosys03:39
*** _whitelogger has quit IRC05:15
*** _whitelogger has joined #yosys05:17
*** Jybz has joined #yosys05:18
*** _whitelogger has quit IRC05:54
*** _whitelogger has joined #yosys05:56
*** jakobwenzel has joined #yosys06:10
*** emeb_mac has quit IRC06:40
*** tpb has joined #yosys07:41
*** rohitksingh has quit IRC07:58
*** rohitksingh has joined #yosys08:05
*** rohitksingh has quit IRC08:26
*** MrBismuth has quit IRC08:27
promachI mean how do I interface synth output from yosys to nextpnr-ice40 ?09:09
daveshah`synth_ice40 -json out.json`09:10
promachdaveshah : thanks. By the way, how to remove all ice40 DFF  in the case of using ltp command ?09:20
promachI mean for synth_ice4009:21
promachit is  ltp t:$_DFF_P_ %n   for  synth09:21
daveshahltp t:SB_DFF* %n09:21
promachdaveshah: what about for   synth_ice40    ?09:21
promachThanks, let me try09:22
promachERROR: failed to place cell '$abc$80826$auto$blifparse.cc:492:parse_blif$84024_LC' of type 'ICESTORM_LC'09:26
promachPlacing design failed.09:26
promachdaveshah : I had this place error in nextpnr09:26
daveshahIs your design over utilised?09:27
promach   Number of wires:               651409:28
promach   Number of wire bits:          1495709:28
promach   Number of public wires:        116809:28
promach   Number of public wire bits:    909109:28
promach   Number of memories:               009:28
promach   Number of memory bits:            009:28
promach   Number of processes:              009:28
promach   Number of cells:               876909:28
promach     SB_CARRY                      40009:28
promach     SB_DFF                        23209:28
promach     SB_DFFE                       43209:28
promach     SB_DFFESR                    196809:28
promach     SB_DFFESS                      4009:28
promach     SB_DFFSR                      24809:28
promach     SB_LUT4                      544909:28
promachI am trying to fit into tinyFPGA BX09:28
promachthe previous error was for lattice 1k FPGA09:28
promachthe error below is for tinyFPGA BX09:29
promachERROR: failed to place cell 'data_input[21]$sb_io' of type 'SB_IO'09:29
promachPlacing design failed.09:29
promachdaveshah:09:29
daveshahSounds like you have too many IO pins09:29
promachdoes this mean pins overuse09:29
promachok09:29
*** cr1901_modern has quit IRC10:37
*** pie_ has quit IRC10:38
*** cr1901_modern has joined #yosys10:43
*** GoldRin has joined #yosys10:48
*** chipb has quit IRC10:48
*** pie_ has joined #yosys11:00
*** GoldRin has quit IRC11:54
*** cr1901_modern has quit IRC12:18
*** somlo has quit IRC13:07
*** chipb has joined #yosys13:17
*** somlo has joined #yosys13:21
*** cr1901_modern has joined #yosys13:33
*** emeb has joined #yosys13:52
*** dys has joined #yosys15:05
*** cr1901_modern has quit IRC15:42
*** Jybz has quit IRC16:01
*** citypw has quit IRC16:32
*** indy has joined #yosys17:21
*** cr1901_modern has joined #yosys17:24
ZirconiumXwq: Idea for bugpoint - a -grep-like argument for "interesting" crashes. For example, you might ask it to bugpoint an assert on a specific line, but dump anything which contains the phrase "ERROR: Assert"17:31
janrinzenumber of cells seems too much too..17:37
daveshahThat's cells from a Yosys point of view. Some carries LUTs and DFFs will pack together17:42
*** Max-P has quit IRC17:48
*** Max-P has joined #yosys17:55
janrinzedaveshah: the ecp5 board you were working on, is that available somewhere?18:08
daveshahNo, I'm afraid it isn't18:08
janrinzeit's like the versa but has hdmi and such, right?18:09
daveshahIt might be at some point in the future depending on how things work out18:09
daveshahYes18:09
daveshahhttps://github.com/daveshah1/TrellisBoard18:09
tpbTitle: GitHub - daveshah1/TrellisBoard: Ultimate ECP5 development board (at github.com)18:09
janrinzeyes, that one18:09
janrinzethe ulx3s has a different ecp5 chip18:09
janrinzeperhaps i should look into designing a daughterboard for the eval board from lattice18:10
*** rohitksingh has joined #yosys18:34
*** Jybz has joined #yosys18:35
*** pie_ has quit IRC18:41
*** rohitksingh has quit IRC18:43
*** rohitksingh has joined #yosys18:48
*** pie_ has joined #yosys19:03
*** rohitksingh has quit IRC19:31
janrinzedaveshah: is there a tool to modify the BRAM in a ecp5 design like with ice40?19:34
daveshahNo, there isn't19:35
daveshahExactly the same concept could be used though19:35
*** rohitksingh has joined #yosys19:35
janrinzeECP5 support is relatively new, isn't it?19:35
janrinzeor has a lot smaller user base?19:36
daveshahAbout a year old19:36
daveshahCompared to 4 years for iCE4019:36
janrinzemakes sense19:36
janrinzei really like how nextpnr makes ecp5 like the big brother of ice40 now :-)19:37
emilyhm, how old is arachne-pnr? ~4 years too?19:39
daveshahYeah, icestorm came first and arachne-pnr shortly after19:40
sorearWhat did we use pre arachne?19:48
janrinzedaveshah: does nextpnr-ecp5 latch the output to I/O pins or do i have to do that explicitly?19:48
ZirconiumXwhitequark: Managed to trigger yet another assert in FlowMap: ERROR: Assert `!lut_gates[breaking_lut].empty()' failed in passes/techmap/flowmap.cc:127319:49
daveshahsorear: there was nothing, just tools to explore bitstreams19:49
daveshahjanrinze: no, it doesn't pack output registers automatically yet19:49
daveshahIf that's what you mean by latch?19:49
daveshahCurrently the output registers aren't supported at all19:50
janrinzedaveshah: Okay then I/O can have delay in respect to a global clock, right?19:51
daveshahYes19:51
daveshahFor now, a DDR primitive is the best bet19:52
*** rohitksingh has quit IRC19:52
janrinzeI see. I am running a 1024x786 VGA output and it is decent. when using a dithered image it shows somewhat.19:53
janrinzeat almost 67 Hz refresh19:53
janrinzecould also be the pll of course.19:54
*** rohitksingh has joined #yosys19:55
janrinzedaveshah: DSP 18x18 mult is not inferred yet, right?19:55
daveshahNo, but it will be soon19:55
janrinze'automagically' ? :-)19:55
daveshahhttps://github.com/YosysHQ/yosys/pull/135919:56
tpbTitle: DSP inference for Xilinx (improved for ice40, initial support for ecp5) by eddiehung · Pull Request #1359 · YosysHQ/yosys · GitHub (at github.com)19:56
daveshahYup, for all multiplies above a certain size19:56
janrinzeI'll have a look at that.19:58
daveshahIt's a pretty monstrous PR because it includes Xilinx and iCE40 stuff too19:59
daveshahThe idea is about infrastructure for DSPs as much as anything else20:00
janrinzefor up5k I didn't mind to use the primitives. it was a little confusing at first to get it right but it works very well.20:02
daveshahYeah, when there are only 8 of them anyway instantiation isn't so bad20:03
*** Jybz has quit IRC20:03
janrinzeOnly needed one for this design.20:04
janrinzeIt does have one DSP MULT18x18 now. so it looks like it works.20:06
ZirconiumXAfter entirely too many attempts, I now have a "minimal" repro for the FlowMap assert bug20:10
ZirconiumXWell, *a* FlowMap assert bug20:10
ZirconiumXGiven I turned up more in the process of finding this one20:10
* ZirconiumX updates Yosys, and it works fine20:20
* ZirconiumX sighs20:20
janrinzedaveshah: true dual port ram might be necessary to manually infer?20:29
daveshahYes, afraid so20:29
tntit's not called infer if you do it manually :)20:29
janrinzei noticed how yosys was struggling :-)20:29
ZirconiumXGood news: bug still exists20:41
ZirconiumXBad news: the test case I spent *hours* with bugpoint on doesn't trigger the bug in the current version20:41
*** emeb_mac has joined #yosys20:49
somlooh, xc7dsp now has a PR, neat!20:57
*** rohitksingh has quit IRC21:00
*** rohitksingh has joined #yosys21:34
*** rohitksingh has quit IRC22:32
*** emeb has quit IRC23:25

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!