Friday, 2019-07-12

*** tpb has joined #yosys00:00
*** emeb_mac has joined #yosys00:14
*** gsi__ has joined #yosys00:21
*** gsi_ has quit IRC00:24
*** GoldRin has quit IRC00:25
*** promach has joined #yosys01:17
promachdaveshah : should I put up a github issue for https://www.eevblog.com/forum/fpga/asynchronous-reset-mechanism-of-d-flip-flop-in-yosys/?all ?01:18
tpbTitle: asynchronous reset mechanism of D flip-flop in yosys - Page 1 (at www.eevblog.com)01:18
promachI am not sure that yosys-smtbmc tool is giving the right formal verification results01:18
*** vonnieda has joined #yosys01:21
*** citypw has joined #yosys02:35
*** PyroPeter has quit IRC02:39
emeb_macon a lark, building icestorm/yosys/nextpnr on an RPi 402:42
*** PyroPeter has joined #yosys02:52
*** citypw has quit IRC02:55
futarisIRCcloudemeb_mac: Should work fine. I've built it on an android termux.02:56
*** citypw has joined #yosys02:58
emeb_macfutarisIRCcloud: seems to be working so far. Slow, but no big issues yet (about 1/2-way thru yosys now)03:01
*** promach has quit IRC03:11
*** gprabhu has joined #yosys03:14
*** gprabhu has quit IRC03:29
emeb_macwell, icestorm and yosys build OK, but nextpnr fails03:50
emeb_macnextpnr/3rdparty/qtimgui/ImGuiRenderer.h:57:5: error: ‘QOpenGLFunctions_3_2_Core’ does not name a type; did you mean ‘QOpenGLFunctionsPrivate’?03:51
emeb_macis it possible to configure the nextpnr build to leave out the GUI stuff? probably not needed on a headless system.03:55
emeb_mactry cmake w/ -DBUILD_GUI=OFF I guess04:00
*** citypw has quit IRC04:23
*** citypw has joined #yosys04:24
Xarkemeb_mac: I tried this recently (on a 3...) and got past here, but was blocked by a problem with mutexes at run-time IIRC.04:51
emeb_macXark: nextpnr built w/ GUI disabled. trying out tools now04:57
emeb_macyosys-abc has been spinning on a simple design for the last 10min w/o finishing.04:57
*** dys has quit IRC04:58
Xarkemeb_mac: Hopefully it works.  My Pi4 should arrived soon (I for sure needed fans and swap on the Pi3...took a while too). :)04:58
Xarkemeb_mac: I did get it working for regular ice40-8K (with archnepnr vs nextpnr).04:59
Xarkemeb_mac: I think I tried maybe a month or more ago (so ancient history). :)05:00
emeb_macXark: this is my HX8K-based icestick 6502 design. It builds in a few sec on my i3 system. been running for the last 15 min or so and seems stuck at ABC: + mfs2 step05:01
emeb_macthis is a 2GB RAM version of Pi4 and top reports that it's only using 0.7% of memory.05:01
*** Thorn has quit IRC05:01
Xarkemeb_mac: Yeah, IIRC my memory issues were compiling (1GB and I think I had a browser window open).  I think I used -j2 (-j4 was a bad idea I found).05:03
emeb_macyeah - I never use -j on systems with small memory. straight make w/o -j works fine (if slowly)05:04
Xarkemeb_mac: I also compiled RISC-V toolchain (overnight)...05:04
Xarkemeb_mac: But all those bars in htop looked so empty... :)05:05
emeb_machaha05:05
emeb_macwell, I gave up and aborted. yosys-abc had been running on this for ~30min w/o evidence of progress. I suspect something wrong somewhere.05:19
emeb_macnow trying with a different design. it also seems hung in yosys-abc05:19
Xarkemeb_mac: Was it using CPU (or wedged on mutex)?05:24
emeb_macIt's using 100% of one CPU05:25
emeb_macand a tiny amount of RAM (0.6% in the current case)05:25
Xarkemeb_mac: I see.  Kind of a bummer.05:26
Xarkemeb_mac: Presumably Pi related?05:26
emeb_macXark: when you build these 6502 designs do you ever see this? "ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep")."05:27
emeb_macI've seen that before while  building on PC but it doesn't seem to hurt anything05:27
Xarkemeb_mac: Hmm, a lot of spam, but I don't recall seeing that...05:27
* Xark greps05:28
emeb_macI see it on PC for sure but the design builds fine05:30
Xarkemeb_mac: Yeah, it is there.05:30
emeb_macand PC blows right past the yosys-abc stuff that hangs on the Pi.05:30
Xarkemeb_mac: Like I mentioned, I have gotten some designs to build and run on the Pi (but not with arachne-pnr).  I believe I got the RiscRV32 "PicoSoC" build and running (hence the RISC-V toolchain build I mentioned).05:31
XarkEr, PicoRV32 :)05:32
* Xark also remembers a lot of pauses on the Pi that were not noticeable on his PC. :)05:32
*** emeb has quit IRC06:10
*** pie_ has quit IRC06:36
*** Max-P has quit IRC06:45
*** Max-P has joined #yosys06:45
*** emeb_mac has quit IRC07:06
*** s_frit_ has joined #yosys08:09
*** s_frit has quit IRC08:11
*** dys has joined #yosys08:14
pepijndevos_Is there a way to control fan-out in abc? It seems to want to insert buffer chips above a certain threshold.08:30
*** futarisIRCcloud has quit IRC09:03
*** knielsen has quit IRC09:13
pepijndevos_ZirconiumX, https://twitter.com/pepijndevos/status/114961211717301043309:40
ZirconiumXpepijndevos_: Have you made any optimisation to placement, or are you just dropping them in a grid as you go?09:41
pepijndevos_ZirconiumX, it's just droppign them in a grid. There is no placement info in the netlist at all. I think Kicad ist just doing this.09:43
ZirconiumXOuch09:43
pepijndevos_Which wont stop me from running the autorouter on it and watching it burn09:44
pepijndevos_Skidl is *really* slow btw, the picorv32 has not yet completed generating the netlist. the 6502 took a looong time as well.09:46
pepijndevos_I think we could look into graywolf and qrouter if we want to do bigger things than you can place by hand in Kicad.09:50
*** gmc has quit IRC09:52
*** AlexDaniel has quit IRC10:31
*** AlexDaniel has joined #yosys10:31
*** proteusguy has joined #yosys10:55
*** ZipCPU|Laptop has joined #yosys11:01
*** GoldRin has joined #yosys11:10
*** Thorn has joined #yosys11:32
*** celadon has joined #yosys11:38
*** ZipCPU|Laptop has quit IRC12:06
*** ZipCPU|Laptop has joined #yosys12:29
*** rrika has quit IRC12:32
*** rrika has joined #yosys12:34
*** pie_ has joined #yosys12:40
*** pie_ has quit IRC12:40
*** pie_ has joined #yosys12:41
*** pie_ has quit IRC12:42
*** pie_ has joined #yosys12:42
*** pie_ has joined #yosys12:44
*** pie_ has quit IRC12:45
*** pie_ has joined #yosys12:45
*** pie_ has quit IRC12:46
*** pie_ has joined #yosys12:47
*** AlexDaniel has quit IRC13:14
*** AlexDaniel has joined #yosys13:14
*** ZipCPU|Laptop has quit IRC13:54
*** vonnieda has quit IRC14:05
*** emeb has joined #yosys14:06
*** vonnieda has joined #yosys14:32
*** vonnieda has quit IRC14:35
*** vonnieda_ has joined #yosys14:35
*** pie__ has joined #yosys14:35
*** pie_ has quit IRC14:35
*** pie__ has quit IRC14:36
*** pie__ has joined #yosys14:36
*** pie__ has joined #yosys14:38
*** Cerpin has quit IRC14:39
*** pie__ has quit IRC14:39
*** pie_ has joined #yosys14:39
*** jakobwenzel has quit IRC15:31
*** gsi__ is now known as gsi_15:57
*** citypw has quit IRC16:06
*** rohitksingh has joined #yosys16:07
*** proteusguy has quit IRC16:57
*** dys has quit IRC17:04
*** forrestv has quit IRC18:11
*** rohitksingh has quit IRC18:12
*** forrestv has joined #yosys18:14
*** ZipCPU|Laptop has joined #yosys18:20
*** Cerpin has joined #yosys18:32
*** dys has joined #yosys18:47
*** Strobokopp has quit IRC19:42
*** ZipCPU|Laptop has quit IRC19:55
*** X-Scale has joined #yosys20:32
*** ZipCPU|Laptop has joined #yosys20:43
*** daddesio has left #yosys21:21
*** Jybz has joined #yosys22:25
*** vonnieda_ has quit IRC22:47
*** Jybz has quit IRC22:49
cr1901_modernhttps://github.com/YosysHQ/yosys/commit/e2fb8ebe86f49523168c413c734ce4690d740351#diff-04c6e90faac2675aa89e2176d2eec7d8L266 I never understood what this line meant in the README and now it's gone. What does/did it mean for yosys to synthesize "latched logic as feedback loops"?23:34
tpbTitle: Update README · YosysHQ/yosys@e2fb8eb · GitHub (at github.com)23:34
sorearhave you ever seen two back to back NOR gates in a book?23:39
cr1901_modernyes I know what a latch looks like23:39
cr1901_modernI guess my question is... "in the past did yosys emulate latches using a mux that fed back the output to input with one clock cycle delay?"23:49
sorearNot a “clock cycle” delay - a combinatorial loop23:52
cr1901_modernI don't see how that's "emulating" a latch then. The whole point of a latch is that the output gets fed back to the input.23:53

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!