Saturday, 2019-07-06

*** tpb has joined #yosys00:00
*** s_frit has quit IRC00:02
*** s_frit has joined #yosys00:02
*** gsi_ has joined #yosys00:34
*** gsi__ has quit IRC00:37
*** PyroPeter has quit IRC02:46
*** PyroPeter has joined #yosys02:58
*** s_frit has quit IRC03:08
*** pie_ has quit IRC03:59
*** citypw has joined #yosys05:52
*** azonenberg has quit IRC05:56
*** citypw has quit IRC06:45
*** dys has joined #yosys07:14
*** emeb_mac has quit IRC07:15
*** _whitelogger has quit IRC07:53
*** _whitelogger has joined #yosys07:56
*** _whitelogger has quit IRC08:02
*** proteusguy has joined #yosys08:02
*** _whitelogger has joined #yosys08:05
*** dys has quit IRC08:06
*** Jybz has joined #yosys08:20
*** pie_ has joined #yosys09:01
*** pie_ has quit IRC09:02
*** pie_ has joined #yosys09:12
*** proteusguy has quit IRC09:12
*** pie__ has joined #yosys09:19
*** pie_ has quit IRC09:22
*** Strobokopp has joined #yosys09:28
*** Jybz has quit IRC09:29
*** Jybz has joined #yosys09:31
*** pie__ has quit IRC09:48
*** dys has joined #yosys10:14
*** _whitelogger has quit IRC10:38
*** _whitelogger has joined #yosys10:41
*** Jybz has quit IRC11:13
*** Jybz has joined #yosys11:14
*** Jybz has quit IRC11:25
*** Jybz has joined #yosys11:35
*** Jybz has quit IRC11:37
*** Jybz has joined #yosys11:43
*** rrika has quit IRC12:38
*** rrika has joined #yosys12:39
*** pie__ has joined #yosys14:03
*** pie__ has quit IRC14:08
trmmhow much difference is expected between two bitstreams with similar verilog inputs?14:37
trmmFor faster cycle times, is there anyway to ask nextpnr to only use limited parts of the chip (so that more of the bitstream is "empty")?14:37
*** emeb has joined #yosys14:39
trmmmore context: I added faster reprogramming support to tinyprog so that it doesn't erase sectors that it doesn't need to and it seems that many small changes in the verilog leave much of the bitstream identical so there is a definite speedup from the patch14:39
trmmotherwise erasing and flashing the entire chip is around 60 KB/s, so a full bitstream takes about 12 seconds14:41
trmmwith the partial update it can be as fast as the time to read the bitstream, around 600 KB/s for an identical one14:41
cr1901_modernI need to update/play with tinyprog again15:19
cr1901_modernlast I used it was late September to add micropython support15:19
*** citypw has joined #yosys15:21
*** citypw has quit IRC15:21
*** fsasm has joined #yosys15:22
*** citypw has joined #yosys15:22
*** citypw has quit IRC15:24
*** trmm has quit IRC15:34
ZirconiumXdaveshah: Where did the names for the cells come from? I've read through the docs and the ECP5 cells mostly match the docs, but is it just to match the docs?15:59
daveshahZirconiumX: usually either to match the vendor primitives or be obvious that they don't match (any TRELLIS_ or ICESTORM_ primitives)16:01
ZirconiumXAh, okay16:01
daveshahtrmm: region constraints are a bit of a work in progress16:02
daveshahHowever, because of the ECP5 bitstream structure if a design uses a significant number of IO it is likely a large number of frames will change16:02
daveshahBecause of routing through them changing16:02
*** Jybz has quit IRC16:49
*** Jybz has joined #yosys16:52
*** emeb has quit IRC16:53
corecodei guess i can't use enum with yosys?17:07
FL4SHKcorecode:  SV support is incredibly weak18:19
ZirconiumXcorecode: unless you pony up for Verific18:50
*** fsasm has quit IRC19:17
*** emeb_mac has joined #yosys19:47
*** cr1901_modern has quit IRC20:02
*** cr1901_modern has joined #yosys20:03
corecodeboo20:04
*** pie__ has joined #yosys20:41
*** phire has quit IRC20:46
*** phire has joined #yosys20:49
*** pie__ has quit IRC21:21
emeb_macboo who?21:30
ZipCPUWhy are you crying?21:42
ZirconiumXI have absolutely no idea who the best person to ask for this is, but Quartus and Yosys have a disagreement on whether Verilog generate for loops requires blocks to have names21:43
ZirconiumXSo who's right, for the sake of writing portable Verilog?21:43
daveshahI think, at least in plain Verilog, generate blocks have to be named to have wires/regs declared inside them but not otherwise21:44
*** dys has quit IRC21:45
ZirconiumXHere's the snippet Yosys accepts but Quartus complains about: https://gist.github.com/ZirconiumX/7ead4ac0a06afccb3b181558e8a70ccb21:49
tpbTitle: test.v ยท GitHub (at gist.github.com)21:49
ZipCPUZirconiumX: Yosys issues no errors for the block names, so ... I put them in when something has to work with Quartus21:49
ZirconiumXThus why I'm asking which is correct :P21:49
daveshahI think Yosys is correct according to the newer standards but not original Verilog21:50
bwidawskquartus claims to support verilog 2001 and SV 200521:50
bwidawskneither work for me without the name21:50
daveshahistr there are differences in support between paid and free quartus21:50
daveshahThere was a twitter thread about this a while ago21:51
bwidawskI am using paid21:51
bwidawskfwiw21:51
*** Jybz has quit IRC21:51
ZirconiumXWhat does Yosys' "public wires" stat mean?22:04
ZirconiumXI/O pins or something?22:05
daveshahWires that weren't created or processed by Yosys (eg without a dollar prefix name)22:05
*** pie__ has joined #yosys23:39
*** pie__ has quit IRC23:44

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!