Friday, 2019-07-05

*** tpb has joined #yosys00:00
*** edwinbalani is now known as ebb00:07
*** ebb is now known as edwinbalani00:07
*** gsi__ has joined #yosys00:36
*** gsi_ has quit IRC00:38
*** promach has joined #yosys01:54
*** PyroPeter has quit IRC02:46
*** PyroPeter has joined #yosys02:59
*** rohitksingh has joined #yosys03:28
*** rohitksingh has quit IRC03:42
bwidawskI don't know the architecture well, but you could catch the signal when at a prompt, or let it through while a command is running03:44
bwidawskI'm happy to dig into it if people think the RFC is a good idea03:45
bwidawsktnt, daveshah, ZirconiumX: ^^03:45
*** promach has quit IRC03:52
*** citypw has joined #yosys04:04
*** rohitksingh_work has joined #yosys05:26
*** m4ssi has joined #yosys06:02
*** kraiskil has joined #yosys06:51
*** emeb_mac has quit IRC07:23
*** adjtm_ has joined #yosys07:42
*** adjtm has quit IRC07:44
*** kraiskil has quit IRC08:08
*** s_frit has quit IRC08:58
*** s_frit has joined #yosys08:58
*** dys has joined #yosys09:58
*** pie_ has quit IRC12:15
*** rrika has quit IRC12:34
*** rrika has joined #yosys12:35
*** rohitksingh_work has quit IRC13:07
*** Cerpin has joined #yosys13:45
*** emeb has joined #yosys14:00
*** jakobwenzel has quit IRC14:10
*** jakobwenzel has joined #yosys14:10
*** rohitksingh has joined #yosys14:25
*** rohitksingh has quit IRC14:30
*** rohitksingh has joined #yosys14:32
*** dys has quit IRC14:47
*** rohitksingh has quit IRC14:57
*** rohitksingh_ has joined #yosys14:57
*** rohitksingh_ has quit IRC15:04
*** rohitksingh has joined #yosys15:04
*** dys has joined #yosys15:37
*** rohitksingh has quit IRC15:42
*** rohitksingh_ has joined #yosys15:42
*** m4ssi has quit IRC16:06
*** trmm has joined #yosys16:39
trmmon the ulx3s schematic the FLASH_SCK line is connected to U3, which matches the LPF file.16:40
trmmhowever, nextpnr says:16:40
trmmERROR: IO pin 'flash_clk$tr_io' constrained to pin 'U3', which does not exist for package 'CABGA381'.16:40
*** citypw has quit IRC16:41
trmmah, I see there is an issue already open about it: https://nuget.pkg.github.com/SymbiFlow/prjtrellis/issues/7516:43
tpbTitle: database for ECP5 CABGA381 is missing pin U3 · Issue #75 · SymbiFlow/prjtrellis · GitHub (at nuget.pkg.github.com)16:43
daveshahFor the flash clock you should use the USRMCLK primitive anyway16:43
daveshahI'm not even sure if Diamond allows you to use the clock as a normal IO or forces you to use the primitive too16:44
trmmERROR: No wire found for port USRMCLKTS on destination cell usrmclk_inst.16:50
*** rohitksingh_ has quit IRC16:50
daveshahIs your trellis and nextpnr up to date?16:50
daveshahThis was fixed fairly recently16:51
trmmlast pulled in April, so I'll do an update16:51
daveshahJust updating nextpnr should be fine16:51
daveshahThink I fixed the nextpnr side sometime in may16:52
trmmtrellis was up to date since you just merged my most recent pr.  I'm rebuilding nextpnr now16:53
*** rohitksingh has joined #yosys16:59
trmmIs generating the chipdb bba files supposed to consume all physical memory in the known universe?17:01
tnttrmm: no ... just a few G17:03
daveshahThere was a patch by whitequark recently to serialise building them17:04
trmmfinally made it past the 85k file without triggering the oom.  make -j8 was a mistake in this case...17:05
daveshahThis is exactly what that patch was supposed to fix17:06
trmmok, that fixed the USRMCLKTS issue17:12
pepijndevos_ZirconiumX, PCB has shipped!17:17
ZirconiumXpepijndevos_: and now in a month you'll find out you fucked up17:17
ZirconiumXDid you add a ground plane?17:17
*** proteusguy has quit IRC17:31
*** fsasm has joined #yosys17:32
pepijndevos_ZirconiumX, yes I did. It's all 2 layer through hole stuff, so there is a lot that can be fixed.17:48
ZirconiumXI still think you should learn manual routing17:52
*** pie_ has joined #yosys17:54
pepijndevos_I've routed some fairly big 2 and 4 layer PCBs, and it's my least favourite part of electronic design. That moment where you're just staring hopelessly at a giant ratsnest... I'm sure it gets better with experience, I've seen some pretty amazing routing jobs.17:56
daveshahPersonally I find routing quite relaxing17:58
pepijndevos_But for this project the whole point of it is kinda the automation IMO. Ideally I'd just compile directly to PCB. I wonder if nextpnr could help here...17:58
daveshahNo, nextpnr is designed for highly constrained applications (i.e. FPGAs). It would be no good for ASICs let alone PCBs17:59
pepijndevos_I've explained pcb layout to people as connect the dots for grownups.18:00
pepijndevos_So how does ASIC place and route work?18:00
daveshahPlacement isn't that different, just with free choice of location rather than fixex18:01
daveshah*fixed18:01
daveshahRouting I don't know the details but presumably similar enough to a PCB18:02
pepijndevos_But I assume for the amount of transistors in a modern chip there is a lot less manual work than typical PCB layout... or ASIC design is a special kind of hell I don't want to end up in.18:03
daveshahYes, most general ASIC routing is automated afaik18:03
*** Laksen has joined #yosys18:03
daveshahBut I suspect some of the standard cells are routed by hand18:04
pepijndevos_Sure18:04
daveshahthe routing between those cells wouldn't usually be by hand18:04
pepijndevos_So is there any oss asic pnr yet? Or do you feed the yosys netlist into commercial tools?18:05
daveshahYes, there is http://opencircuitdesign.com/qflow/18:05
tpbTitle: Qflow (at opencircuitdesign.com)18:06
daveshahI think there was a tapeout of picorv32 with it recently18:06
pepijndevos_awesome18:06
daveshahhttps://content.riscv.org/wp-content/uploads/2019/06/11.45-Mohamed-Kassem-efabless-riscv.workshop.zurich.201906-Copy.pdf18:06
pepijndevos_whoa18:08
*** vonnieda has quit IRC18:13
*** edwinbalani is now known as ebb18:24
*** ebb has quit IRC18:29
*** ebb has joined #yosys18:29
*** adjtm_ has quit IRC18:37
*** vonnieda has joined #yosys18:51
*** vonnieda has quit IRC19:35
*** bwidawsk has quit IRC19:39
*** adjtm has joined #yosys19:47
*** bwidawsk has joined #yosys19:55
*** dys has quit IRC20:03
*** vonnieda has joined #yosys20:14
trmmThanks for the help with the ecp5 USRMCLK issue. The tinyfpga bootloader now works on the ulx3s board, which is so much faster than the bitbang JTAG20:28
cr1901_modernoh nice!20:31
trmm(The FTDI used by ujprog has only 3 megabaud link and requires sending 16 bytes for every byte of the bitstream, so it is very slow)20:35
*** Jybz has joined #yosys20:37
cr1901_modernI'd like to see more direct USB connections to FPGAs even if it's limited to 1.120:37
cr1901_modernerr Full Speed*20:38
*** emeb_mac has joined #yosys20:41
*** rohitksingh has quit IRC22:00
trmmthe tinyfpga-ex has all of the USB-C pins connected. I wonder how well that will work in practice22:09
trmm(or if everyone will just use the USB 2 pins)22:09
*** Jybz has quit IRC22:21
*** fsasm has quit IRC22:25
*** emeb has quit IRC23:10

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!