Friday, 2018-12-28

*** tpb has joined #yosys00:00
*** wavedrom has quit IRC03:19
*** pie__ has quit IRC03:58
*** wavedrom has joined #yosys04:16
*** seldridge0 has quit IRC04:27
*** rohitksingh has joined #yosys05:24
*** dys has joined #yosys05:44
*** _whitelogger has quit IRC06:00
*** _whitelogger has joined #yosys06:02
*** AlexDaniel has quit IRC06:14
*** _whitelogger has quit IRC06:36
*** _whitelogger has joined #yosys06:38
*** _whitelogger has quit IRC06:43
*** _whitelogger has joined #yosys06:47
*** _whitelogger has quit IRC06:54
*** _whitelogger has joined #yosys06:56
*** _whitelogger has quit IRC07:03
*** _whitelogger has joined #yosys07:05
*** AlexDaniel has joined #yosys07:15
*** _whitelogger has quit IRC07:21
*** _whitelogger has joined #yosys07:23
*** _whitelogger has quit IRC07:25
*** _whitelogger has joined #yosys07:29
*** jcreus has joined #yosys08:18
*** _whitelogger has quit IRC08:26
*** rohitksingh_ has joined #yosys08:26
*** rohitksingh has quit IRC08:26
*** _whitelogger has joined #yosys08:29
*** kraiskil has joined #yosys08:40
*** wavedrom has quit IRC09:07
*** kraiskil_ has joined #yosys09:19
*** kraiskil has quit IRC09:20
*** rohitksingh_ has quit IRC10:39
*** rohitksingh has joined #yosys11:06
janrinzeZipCPU: have you been able to infer the hardware multiplier of up5k with yosys?11:38
ZipCPUjanrinze: I just tried, and it didn't infer ... let me update my version and see if this was fixed recently at all11:42
ZipCPUWith the updated version it still doesn't infer11:48
ZipCPUI'm not sure if this capability has been built into yosys yet.  I know I've heard daveshah and clifford discussing how they might add it, but not the results  of those conversations11:49
janrinzewith BRAM it had a special way of inferring. might there be a thingy for the multiplier too?11:50
daveshahWe'll be working on that next year11:50
janrinzedaveshah: the BRAM had a special way of explicitly inferring. is there a similar library for the multiplier?11:51
ZipCPUI'm pretty sure you can instantiate the underlying DSP element directly, just never tried doing it.11:52
janrinzeZipCPU: only if i know what the module is called :D11:53
daveshahSB_MAC1611:53
* ZipCPU is checking the yosys/techlibsecp5 directory to see if its there11:53
ZipCPUSB_MAC16?  Is it a 16x16 MAC as opposed to the 18x18 I've gotten used to from Xilinx?11:54
janrinzeZipCPU: yes, all documentation refers to 16x1611:56
ZipCPUHere it is: techlibs/ice40/cells_sim11:57
janrinzedaveshah: I wish there was a way to change only the pll setting after running yosys and nextpnr11:59
daveshahhmm, that shouldn't be too hard a tool to make11:59
daveshahor you could use the PLL SPI to configure it at runtime11:59
janrinzedaveshah: would it be okay to set the required bits from say a register and allow it to be rewritten during runtime?12:00
janrinzethe SB_PLL40_CORE parameters, can they be registers?12:01
daveshahno, they are bits in the bitstream - the only way to change them at runtime is using the SPI interface (but that isn't really documented)12:05
janrinzethe thing is that when i run yosys and nextpnr the end result varies in speed. changing the pll requires another round of yosys and nextpnr and then the speed is not matching anymore.12:05
janrinzeokay, the bits in the bitstream should be easy to modify if we know which bits they are :D12:06
soreari'm going to keep pitching my "bitstreams with undefined symbols and relocations" idea as long as people keep asking for this12:06
janrinzesorear: would that be similar to having ip-blocks that don't need rebuilding?12:08
sorearthere could be some overlap, but I can't comment more until I understand hierarchical PnR / floorplanning12:09
janrinzedaveshah: is the floorplan a quad-tree?12:09
*** vidbina has joined #yosys12:10
daveshahthe quadtree is used for handling mouse coordinates in the GUI12:11
janrinzedaveshah: using a quadtree allows symmetric operations on cells like mirroring, rotation etc. to reduce wire length12:12
*** markus-k has joined #yosys12:31
*** vidbina has quit IRC12:49
*** vidbina has joined #yosys12:55
*** vidbina has quit IRC12:58
*** vidbina has joined #yosys12:59
*** vidbina has quit IRC13:07
*** vidbina has joined #yosys13:08
*** vidbina has quit IRC13:17
*** kraiskil_ has quit IRC13:30
*** kraiskil_ has joined #yosys13:44
*** m_t has joined #yosys14:04
*** vidbina has joined #yosys14:08
*** rohitksingh has quit IRC15:22
*** m_t has quit IRC15:24
*** tmeissner has joined #yosys15:49
*** seldridge0 has joined #yosys15:56
*** vidbina has quit IRC16:02
*** vidbina has joined #yosys16:13
*** tmeissner has quit IRC16:23
*** rohitksingh has joined #yosys16:27
*** tmeissner has joined #yosys16:37
*** kraiskil_ has quit IRC16:49
*** vidbina has quit IRC16:52
*** vidbina has joined #yosys17:02
*** vidbina has quit IRC17:09
*** vidbina has joined #yosys17:14
*** pie__ has joined #yosys17:27
*** pie__ has joined #yosys17:29
*** pie__ has quit IRC17:37
*** m4ssi has quit IRC17:37
*** kraiskil has joined #yosys17:49
*** vidbina has quit IRC17:54
*** vidbina has joined #yosys18:04
*** tmeissner has quit IRC18:28
*** wavedrom has joined #yosys18:28
*** tmeissner has joined #yosys18:29
*** pie__ has joined #yosys19:01
*** rohitksingh has quit IRC19:01
*** vidbina has quit IRC19:19
*** kraiskil has quit IRC19:23
*** kraiskil has joined #yosys19:25
*** tmeissner has quit IRC19:27
*** rohitksingh has joined #yosys19:27
*** kraiskil has quit IRC19:40
*** kraiskil has joined #yosys19:41
*** pie__ is now known as [redacted]19:45
*** [redacted] is now known as pie__19:45
swetlandcan't one invoke nextpnr and specify the random seed?  presumably there should be some way to get identical outputs given suitably identical inputs -- since the PLL parameters don't affect placement, if everything else (including constraints, random seed, etc) is the same, shouldn't one be able to get the same layout?19:53
*** kraiskil has quit IRC19:53
*** pie__ has quit IRC19:55
*** kraiskil has joined #yosys19:56
*** pie_ has joined #yosys20:01
daveshahYes, you can specify the seed with --seed, it defaults to 120:08
daveshahIt could be that your C++ library is randomising unordered_map ordering and we rely on that somewhere20:08
*** kraiskil has quit IRC20:27
*** pie__ has joined #yosys20:59
*** pie_ has quit IRC21:01
*** vidbina has joined #yosys21:28
*** vidbina has quit IRC21:33
janrinzedaveshah: does up5k work okay with icepll generated pll?21:37
janrinzei get an error:ERROR: PLL 'mypll.uut' couldn't be placed anywhere, no suitable BEL found. Did you mean to use a PAD PLL ?21:39
daveshahYou probably need to use a PAD PLL variant for your board21:41
daveshahThis is dependent on which pin is used for clock output21:42
janrinzeLOL.. yes that's what the error says21:42
janrinzebut the 12 MHz is on a pad, right?21:42
*** kraiskil has joined #yosys21:42
janrinzeboard is the up5k eval board21:42
janrinzelattice one, simple board.21:43
janrinzedoes the output have to be a pin too?21:43
daveshahNo, the output can go to fabric or a global network21:44
janrinzeokay, according to the docs pin 35 has 12MHz clock21:45
janrinzeso i was assuming that can be used for pll input21:45
daveshahYes, that is the dedicated PLL input pin so you have to use the _PAD not _CORE PLL variant21:46
janrinzeaha! thanks!21:46
janrinzeicepll by default generates a _CORE21:47
janrinzedaveshah: i'll take a good look at your nes example to find out more things specific for the up5k. Already saw the spram there too.21:58
*** rohitksingh has quit IRC22:14
swetlandalso, if you want to be able to use that 12M clock as well as the PLL generated clock you need to use SB_PLL40_2_PAD which provides the original clock as well as the generated clock22:49
swetlandicepll probably should have options as to if the input is an IO or internal, what you're doing with the output, etc.  the lattice clock wizard thing does, though their descriptions are a bit confusing.  The docs for the SB_* blocks in http://www.latticesemi.com/~/media/LatticeSemi/Documents/TechnicalBriefs/SBTICETechnologyLibrary201708.pdf are useful22:55
*** vidbina has joined #yosys23:39
*** kraiskil has quit IRC23:39
*** kraiskil has joined #yosys23:40
*** m_w has joined #yosys23:45
*** kraiskil has quit IRC23:51

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!