Thursday, 2018-07-19

*** tpb has joined #yosys00:00
*** pie__ has quit IRC00:24
*** pie__ has joined #yosys00:24
*** promach_ has joined #yosys00:28
*** lansiir has quit IRC00:42
*** pie_ has joined #yosys00:57
*** pie__ has quit IRC00:58
*** m_t has quit IRC01:05
*** dxld has quit IRC01:21
*** dxld has joined #yosys01:23
*** pie_ has quit IRC01:29
*** pie_ has joined #yosys01:29
*** promach_ has quit IRC01:43
*** pie_ has quit IRC02:01
*** pie_ has joined #yosys02:01
*** digshadow has quit IRC02:30
*** m_w has joined #yosys02:41
promachany particular reason why multiclock induction does not follow my assume() ?02:42
*** pie_ has quit IRC03:05
*** pie_ has joined #yosys03:05
*** emeb has quit IRC03:07
*** pie_ has quit IRC03:08
*** pie_ has joined #yosys03:08
*** lansiir has joined #yosys03:12
ZipCPUpromach: Go check your code again.  Either the assumption is not being hit, or it isn't assuming what you think it is assuming.03:12
*** lansiir has quit IRC03:16
promachZipCPU: no, both situations you mentioned just now are not what causing the problem :|03:16
ZipCPUIs this a clocked assumption?03:17
promachyes03:19
promachZipCPU: again, I am not trying to blame the tool03:19
ZipCPUDoes it need to be?  i.e. does it depend upon $past?03:19
*** maartenBE has quit IRC03:20
promachyes, it needs $past()03:20
ZipCPUThe assertion that is failing, is it also a clocked assertion?03:20
promachyes03:20
ZipCPUUsing the same clock?03:21
promachassume() is in @(posedge tx_clk) , assert() is in @($global_clock)03:21
promachI have to do this way03:21
promachI cannot use the same clock03:21
*** seldridge has joined #yosys03:22
promachhttps://github.com/promach/UART/blob/development/rtl/test_UART.v#L35003:22
tpbTitle: UART/test_UART.v at development · promach/UART · GitHub (at github.com)03:22
*** maartenBE has joined #yosys03:22
ZipCPUHow many tx_clk's are in your induction window?03:22
promachwhat do you mean by window ?03:22
ZipCPUThe number of timesteps or depth of the proof03:23
promach15003:23
ZipCPUAre you assuming an input to your core?  Or logic within your core?03:24
promachZipCPU: see https://github.com/promach/UART/blob/development/rtl/test_UART.v#L71103:24
tpbTitle: UART/test_UART.v at development · promach/UART · GitHub (at github.com)03:24
promachinput to the UART core03:25
ZipCPUHmmm ... not very realistic is it?03:25
promach?03:26
ZipCPU"assuming" that the external interface will hold the data constant until the UART has finished sending it.03:26
promachwhat is wrong with that ?03:27
promachI got what you mean now03:27
promachbut that does not tell why the multiclock induction does not follow the assume()03:28
ZipCPUThe assume isn't evaluated until the tx_clk timestep.  If before that time the $global_clock assertions are evaluated, then you have a bit of a conflict.03:28
*** seldridge has quit IRC03:28
promachyou mean race condition between always block ?03:29
ZipCPUBasically03:29
ZipCPUOne solution would be to use some registers to get rid of the $past operators and make it an always @(*) assumption.03:29
promachif(enable) reg_i_data <= i_data;03:30
*** pie_ has quit IRC03:31
*** pie_ has joined #yosys03:31
ZipCPUalways @(posedge i_clk) past_i_data <= i_data;03:32
ZipCPUYou've got the basic idea, we're just arguing over variable names at this point .... and the enable line.03:32
promachjust store the data into a register when the single-pulse enable signal is asserted03:36
promachI got what you mean.03:36
promachI start to admire the beauty of always_ff03:37
ZipCPUYes, but the normal $past isn't driven with an enable, but rather with a clock transition.03:37
promachI am not going to use $past for assume03:37
promachyou messed up the assert and assume in this case03:38
promachmy assert() does not use $past()03:38
ZipCPUI did?03:38
promachonly assume() uses $past()03:38
promachsee line 71103:38
mithroI'm obviously missing something - as it shouldn't be this hard to write a yosys pass that does what I want :-(03:39
ZipCPUYes, but reading the history on IRC ... I was referencing an assume ...03:39
ZipCPUmithro: Don't look at me!  I've never tried writing any.  ;)03:39
mithroZipCPU: There is a big piece of missing documentation around things like SigPool, SigChunk, SigBit, replace/remove, how Wires and ports are related....03:48
mithroAnd Clifford can't tell me I didn't look at the documentation, as I've got it open right here now03:49
ZipCPUmithro: I'd love to help, but I'm much more of a user than a developer of yosys at this point.03:49
ZipCPU'n803:50
*** pie__ has joined #yosys03:56
*** pie_ has quit IRC03:56
*** digshadow has joined #yosys03:58
*** pie__ has quit IRC04:09
*** pie__ has joined #yosys04:10
*** maartenBE has quit IRC04:16
*** maartenBE has joined #yosys04:17
*** seldridge has joined #yosys04:27
*** pie__ has quit IRC04:38
*** pie___ has joined #yosys04:38
*** pie__ has joined #yosys04:54
*** pie___ has quit IRC04:55
*** pie__ has quit IRC05:13
*** sorear_ has joined #yosys05:33
*** guan_ has joined #yosys05:34
*** jeandet_ has joined #yosys05:34
*** jhol has quit IRC05:34
*** sorear has quit IRC05:34
*** sorear_ is now known as sorear05:34
*** lvrp16_ has joined #yosys05:34
*** _florent__ has joined #yosys05:35
*** jeandet has quit IRC05:35
*** _florent_ has quit IRC05:35
*** _florent__ is now known as _florent_05:35
*** lvrp16 has quit IRC05:35
*** guan has quit IRC05:35
*** guan_ is now known as guan05:35
*** jhol has joined #yosys05:41
daveshahmithro: certainly I've found as soon as I find a pass that's vaguely what I want it is easy to work out what is going on06:11
mithrodaveshah: I sent Clifford a bunch of info were I got stuck and what I found confusing06:12
daveshahIMO chapter 6 of the manual looks good, although I'm not such a manual reader personally06:13
mithroI was looking at splitnets and deminout06:14
*** seldridge has quit IRC07:06
*** xerpi has joined #yosys07:12
*** proteus-guy has quit IRC07:24
*** dys has quit IRC07:26
*** jeandet_ has quit IRC07:33
*** jeandet has joined #yosys07:34
*** seldridge has joined #yosys07:42
*** SpaceCoaster_ has quit IRC07:44
*** SpaceCoaster has joined #yosys07:44
*** seldridge has quit IRC07:49
*** jwhitmore has joined #yosys08:12
*** jwhitmore has quit IRC08:19
*** forrestv has joined #yosys08:27
*** massi has joined #yosys08:33
*** m_w has quit IRC08:35
*** m_w has joined #yosys08:37
Kokjorqou: Hey! What kind of board are using for projectchibi? I would like to help build the tools and experiment with the MAX V CPLD's.09:01
*** fsasm has joined #yosys09:03
rqouKokjo: unfortunately I currently don't actually have a board!09:04
rqoueverything that has been done has been done with only software09:05
rqouhowever, i have designed a custom board that's currently somewhere in the mail, so i expect to be able to do hardware testing soon09:05
*** dxld has quit IRC09:21
*** dxld has joined #yosys09:23
*** promach has quit IRC09:29
*** jwhitmore has joined #yosys09:29
*** jwhitmore has quit IRC09:40
*** xerpi has quit IRC09:46
*** jwhitmore has joined #yosys09:55
*** jwhitmore has quit IRC10:10
*** jwhitmore has joined #yosys10:11
*** mjoldfield has quit IRC10:55
*** mjoldfie_ has joined #yosys10:55
*** mjoldfie_ has quit IRC11:00
*** mjoldfield has joined #yosys11:01
*** X-Scale has quit IRC11:03
*** AlexDaniel has quit IRC11:10
*** proteus-guy has joined #yosys11:14
*** m_t has joined #yosys11:14
*** jwhitmore has quit IRC11:39
*** jwhitmore has joined #yosys11:49
*** jwhitmore has quit IRC12:04
*** promach has joined #yosys12:10
*** X-Scale has joined #yosys12:12
*** mjoldfield has quit IRC12:43
*** mjoldfield has joined #yosys12:44
*** mjoldfield has quit IRC12:49
*** mjoldfie_ has joined #yosys12:49
*** jwhitmore has joined #yosys13:11
*** jwhitmore has quit IRC14:02
*** jwhitmore has joined #yosys14:12
*** emeb has joined #yosys14:22
*** promach_ has joined #yosys14:23
*** cyrozap has quit IRC15:52
*** nurelin has quit IRC15:53
*** nurelin has joined #yosys15:54
*** cyrozap has joined #yosys15:58
*** jwhitmore has quit IRC16:10
*** m_t has quit IRC16:19
elmsI'm hoping someone can enlighten me about some special cases in icetime. https://github.com/cliffordwolf/icestorm/blob/master/icetime/icetime.cc#L835-L847 I'm getting some errors with a picosoc using symbiflow "Unable to resolve delay for path ce -> ltout in cell type LogicCell40!" Also see that I can get "sr -> ltout"16:42
tpbTitle: icestorm/icetime.cc at master · cliffordwolf/icestorm · GitHub (at github.com)16:42
elmsdaveshah: ^^ are you familiar with icetime?16:43
daveshahelms: it might be a bug in the LutCascade stuff, given arachne-pnr didn't use it it might not be that well tested16:44
daveshahneither ce -> ltout nor sr -> ltout exist as paths, because ltout is a combinational output of the LUT only16:44
daveshahcan you share a test case please?16:44
elmsright now it involves checking out try-picosoc2 branch of vtr and symbiflow-arch-defs. I can share the asc file if that would be helpful16:46
daveshahPlease just send an asc file16:47
elmshttps://usercontent.irccloud-cdn.com/file/W4kYVPEG/hx8kdemo.asc16:50
daveshahThanks16:51
*** dxld has quit IRC16:55
daveshahelms: fixed in https://github.com/cliffordwolf/icestorm/pull/175. Thanks for the test case and report!16:56
tpbTitle: Sign in to GitHub · GitHub (at github.com)16:56
*** digshadow has quit IRC16:57
elmsdaveshah: thanks for the fast response. Should it also bypass for lcout like the previous https://github.com/cliffordwolf/icestorm/pull/175/files#diff-5a25132493a8f47f1c5277f3a4401e8cR84416:59
tpbTitle: icetime: Remove non-existent paths from ce/sr to ltout by daveshah1 · Pull Request #175 · cliffordwolf/icestorm · GitHub (at github.com)16:59
daveshahelms: no16:59
daveshahlcout is the output after the flipflop (in fact the LUT/ff selection mux)16:59
*** dxld has joined #yosys16:59
daveshahin that case, the only input that doesn't drive lcout is the carry input which only drives the dedicated carry chain carry output17:00
elmsok. Thanks again. Well that helps with icetime. Now to figure out what else is wrong as taking it back to verilog the simulation looks all wrong.17:03
*** promach_ has quit IRC17:20
*** maikmerten has joined #yosys17:28
*** massi has quit IRC17:28
*** jwhitmore has joined #yosys17:40
*** digshadow has joined #yosys17:43
*** fsasm has quit IRC18:01
*** emeb has quit IRC18:20
*** emeb has joined #yosys18:35
*** pie__ has joined #yosys18:36
*** emeb has quit IRC18:53
*** emeb has joined #yosys18:54
*** pie__ has quit IRC19:45
*** pie__ has joined #yosys19:45
*** kuldeep_ has joined #yosys19:56
*** pie__ has quit IRC20:16
*** pie__ has joined #yosys20:17
*** AlexDaniel has joined #yosys20:23
*** pie__ has quit IRC20:24
*** pie__ has joined #yosys20:25
*** maikmerten has quit IRC20:31
mithroIs there a way to loop over everything in a selection?20:49
mithroSeems like my best option is to go to tcl?20:50
mithro(Or C++)20:50
*** m_t has joined #yosys20:54
mithrodaveshah: Have you seen any examples of tcl in yosys?20:59
daveshahmithro: other than trying it once back while considering options for the VPR XML stuff, not really21:03
mithroOkay21:04
mithroI think I'm slowly figuring it out21:04
daveshahNot much of a Tcl fan myself, but it was handy in Project Trellis21:09
daveshahOn the Lattice side21:09
daveshahUnfortunately their Tcl console had terrible memory leak issues21:10
mithroHrm -- add -input "${p}_I" 1 seems to be creating a new input with the name "${p}"21:11
daveshahmithro: what happens without the quotes?21:13
mithroHrm - it appears its not working the way I thought it was21:20
mithrodaveshah: How do I get something from a select into tcl?21:20
daveshahmithro: tbh my angle of attack with vendor tcl tools has been to do all substitution and parsing in Python scripts that read and write the output :P21:22
daveshahIt's probably a case of printing the selection and putting it into a Tcl list21:22
mithroI just want a loop :-P21:22
*** emeb has quit IRC21:23
daveshahmithro: so I think the first step is a select -list21:23
mithroset inout [select -list i:* o:* %i]21:24
mithroThat just writes the list to stdout21:24
daveshahThe Yosys bit of that looks good21:24
daveshahBut maybe you have to use -write and write to a temp file then read that in21:25
mithroyerk...21:25
daveshahI tried to get this working with Lattice's tcl stuff and failed21:28
mithroOh well, guess I go back to C++21:28
*** emeb has joined #yosys21:29
mithrow no Python? :-P21:30
daveshahThere will be Python bindings for the RTLIL side of things done over the summer, IIRC21:32
mithrodaveshah: Is there a way to just run yosys commands from C++?21:33
daveshahmithro: yes, that's exactly what the synth_$platform commands do21:34
mithroOkay, will go have a look at them21:34
mithrogoing to get coffee bblr21:34
daveshahe.g. https://github.com/YosysHQ/yosys/blob/master/techlibs/ecp5/synth_ecp5.cc#L24021:35
tpbTitle: yosys/synth_ecp5.cc at master · YosysHQ/yosys · GitHub (at github.com)21:35
*** emeb has quit IRC21:37
*** digshadow has quit IRC21:37
*** pie_ has joined #yosys21:47
*** pie__ has quit IRC21:48
*** emeb has joined #yosys21:52
*** digshadow has joined #yosys22:05
*** pie__ has joined #yosys22:13
*** pie_ has quit IRC22:14
*** kuldeep_ is now known as kuldeep_do22:14
*** kuldeep has quit IRC22:17
*** kuldeep_do is now known as kuldeep22:17
*** digshadow has quit IRC23:50
*** jwhitmore has quit IRC23:54
*** jwhitmore has joined #yosys23:55

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!