Monday, 2018-06-11

*** tpb has joined #yosys00:00
mithroAnyone know what the .SEQ_MODE parameter in the output from icetime mean?00:37
* cr1901_modern doesn't know the answer to any of those questions :/00:42
*** q3k has quit IRC00:55
mithrohey cr1901_modern00:58
cr1901_modernhello mithro, how's it going?00:58
mithrocr1901_modern: Super frustrated because I'm super close to getting things working00:59
cr1901_modernmithro: Hey me too! Except the super close to getting things working part00:59
cr1901_modernThat part has evaded me00:59
cr1901_modernI still have crashing lm32 bitstreams on tinyfpga, and I don't know why. I even made a repo documenting crashes01:00
*** promach_ has joined #yosys01:07
mithrocr1901_modern: At least reproducible is good!01:15
mithrocr1901_modern: Want to look at my problem instead then? :-P01:15
mithrocr1901_modern: I'm trying to figure out why my icestorm HLC output is not logically equivalent to the input01:16
cr1901_modernHLC?01:16
mithroNot quite sure what it stands for01:17
mithroit's a high level description format that icestorm uses01:17
mithrocr1901_modern: You can seen an example here -> https://paste.ubuntu.com/p/JB9TgBD826/#2301:17
tpbTitle: Ubuntu Pastebin (at paste.ubuntu.com)01:17
cr1901_modernWell, if you're intimately familiar w/ ice40 internals, your problem doesn't sound all that fun either.01:18
cr1901_modernI wonder if there's a(n easy) way to move _just_ one SB_LUT to another location in a design, and then recompile the result to a valid bitstream01:19
*** emeb has left #yosys01:27
*** q3k has joined #yosys01:41
*** promach_ has quit IRC01:45
*** develonepi3 has quit IRC02:46
*** X-Scale has quit IRC03:12
*** ZipCPU_ has joined #yosys03:17
*** ZipCPU has quit IRC03:19
*** roh has quit IRC03:29
*** roh has joined #yosys04:00
*** dys has joined #yosys04:06
*** leviathan has joined #yosys04:35
*** mwk has quit IRC04:52
*** mwk has joined #yosys04:52
*** ZipCPU has joined #yosys05:22
*** ZipCPU has quit IRC06:05
*** emeb_mac has joined #yosys06:11
*** ZipCPU has joined #yosys06:22
*** gnufan has quit IRC06:23
*** gnufan has joined #yosys06:25
*** massi has quit IRC06:46
*** massi has joined #yosys06:49
*** xerpi has joined #yosys06:50
*** xerpi has joined #yosys06:51
*** GuzTech has joined #yosys06:59
*** jwhitmore has joined #yosys07:32
*** emeb_mac has quit IRC07:34
*** jwhitmore has quit IRC07:35
*** jwhitmore has joined #yosys07:41
*** FabM has joined #yosys07:54
xerpihi08:22
xerpiI'm trying to use this NAND2 gate: https://github.com/YosysHQ/yosys/blob/master/examples/cmos/cmos_cells.sp#L1208:22
tpbTitle: yosys/cmos_cells.sp at master · YosysHQ/yosys · GitHub (at github.com)08:22
xerpithe problem is that if I set A to Vdd and B to Vss, then I get "doAnalyses: Too many iterations without convergence"08:23
xerpiI'm using ngspice btw08:23
xerpiit looks like there's a problem in the node M34 (the one between the nmos transistors in series)08:23
xerpiany idea what's going on?08:23
xerpiit looks like it's because I'm using different transistor models08:30
* sorear hasn't touched spice in years08:30
xerpihehe08:33
daveshahgosh, this takes me back to when I was writing a circuit simulator08:33
daveshahjust curious, what if you tie A and B via resistors rather than directly?08:34
*** jwhitmore has quit IRC08:49
xerpidaveshah, doesn't seem to work08:55
daveshahxerpi: not sure if I can suggest anything more either, haven't touched SPICE for a while either08:56
xerpino worries, I think it's because my capacitance at the output is too small08:57
*** maartenBE has quit IRC08:58
*** ZipCPU has quit IRC09:07
*** maartenBE has joined #yosys09:10
*** ZipCPU has joined #yosys09:16
*** ZipCPU has quit IRC09:24
*** dys has quit IRC09:38
*** dys has joined #yosys10:59
*** leviathan has quit IRC11:59
*** xerpi has quit IRC12:18
*** X-Scale has joined #yosys12:43
*** seldridge has joined #yosys12:57
*** seldridge has quit IRC13:55
*** dys has quit IRC14:04
*** seldridge has joined #yosys14:37
*** promach_ has joined #yosys14:43
mithrodaveshah: Did something change in yosys recently -- I seem to have lost the ability to get SB_LUT4s in the output?14:47
mithrodaveshah: Oh - a LUT1 isn't mapped to a SB_LUT4 ?14:48
daveshahmithro: What is your code?14:49
mithrohttps://github.com/mithro/symbiflow-arch-defs/blob/4mcmaster/tests/ice40/icelut/route-through-in1/lut.v14:49
tpbTitle: symbiflow-arch-defs/lut.v at 4mcmaster · mithro/symbiflow-arch-defs · GitHub (at github.com)14:49
mithrodaveshah: It gets converted to a wire rather than a LUT14:52
daveshahOf course it is a wire14:53
daveshahThat statement is in no way a LUT114:53
mithrodaveshah: What is a LUT1?14:57
shaprlookup table 1 ?14:57
mithrodaveshah: Actually - what is the difference between a LUT1 and a wire?14:57
daveshahA LUT1 could be an inverter too14:58
daveshahOr const 0 or 114:58
shaprneat14:58
daveshahIf you want a LUT instantiate a LUT14:58
mithrodaveshah: Is there a specific "LUT1" primitive in Yosys?14:59
daveshahThere might be an internal one, but you should just use an architecure primitive14:59
mithroarchitecture primitive? As in the SB_LUT4?15:00
*** leviathan has joined #yosys15:01
mithrohttps://www.irccloud.com/pastebin/ZQgWZR7j/15:03
tpbTitle: Snippet | IRCCloud (at www.irccloud.com)15:03
mithrodaveshah: Should that work?15:03
daveshahYep15:03
mithrodaveshah: It doesn't :-( https://github.com/YosysHQ/yosys/issues/56715:07
tpbTitle: Creating a SB_LUT4 with unconnected inputs causes a C++ exception · Issue #567 · YosysHQ/yosys · GitHub (at github.com)15:07
daveshahmithro: clifford will look at it15:08
daveshahBug in the ice40 optimisations15:08
mithrodaveshah: Yeah15:09
mithrodaveshah: This LUT implementation kind of looks weird...15:10
mithrohttps://github.com/YosysHQ/yosys/blob/0d636964b81ed5db4a7031a24c4b04e3bc879ad5/techlibs/common/simlib.v#L1194-L122715:10
tpbTitle: yosys/simlib.v at 0d636964b81ed5db4a7031a24c4b04e3bc879ad5 · YosysHQ/yosys · GitHub (at github.com)15:10
daveshahNot sure, I think it's reasonable15:10
*** Groomblecom has joined #yosys15:11
GroomblecomQuestion about iCE40hx1k devices: how do I write verilog to synthesize a SB_WARMBOOT?15:12
GroomblecomAs is frequently the case, I found what I was looking for after asking for help: https://github.com/cliffordwolf/icestorm/tree/master/examples/icemulti15:22
tpbTitle: icestorm/examples/icemulti at master · cliffordwolf/icestorm · GitHub (at github.com)15:22
GroomblecomFollow-up question: according to the datasheets, BRAMs are not refreshed when you warmboot, unless you provide initialization data with the new config image. How do I ensure my verilog in each design gets the same BRAM?15:29
GroomblecomSo that I can, e.g. compute a buffer with one config image, and then warmboot to a second image that processes the first image15:30
Groomblecom*processes data from the first image15:30
*** dys has joined #yosys15:37
*** GuzTech has quit IRC15:38
mithrodaveshah: Any idea why icebox_vlog didn't combined the inputs here?15:43
*** roh has quit IRC15:51
*** pie_ has joined #yosys16:18
daveshahmithro: Verilog?16:29
*** roh has joined #yosys16:42
*** develonepi3 has joined #yosys16:47
*** promach_ has quit IRC17:05
*** seldridge has quit IRC17:10
*** develonepi3 has quit IRC17:16
*** develonepi3 has joined #yosys17:17
*** pie_ has quit IRC17:25
*** seldridge has joined #yosys17:27
*** digshadow1 has quit IRC17:42
*** digshadow has joined #yosys18:05
*** emeb has joined #yosys18:31
*** ZipCPU has joined #yosys19:01
*** seldridge has quit IRC19:05
*** seldridge has joined #yosys19:24
*** m_w has quit IRC19:31
*** ZipCPU has quit IRC19:35
*** develonepi3 has quit IRC20:00
*** develonepi3 has joined #yosys20:01
*** digshadow has quit IRC20:07
*** seldridge has quit IRC20:08
*** digshadow has joined #yosys20:30
*** mwk has quit IRC20:36
*** ovf_ is now known as ovf20:37
*** mwk has joined #yosys20:37
*** xerpi has joined #yosys20:41
*** m_w has joined #yosys20:45
*** leviathan has quit IRC21:08
*** AlexDaniel has quit IRC21:25
*** AlexDaniel has joined #yosys21:25
*** dys has quit IRC21:41
*** pie_ has joined #yosys21:45
*** develonepi3 has quit IRC21:48
*** digshadow has quit IRC21:59
*** gnufan has quit IRC22:49
*** xerpi has quit IRC22:54
*** gnufan has joined #yosys22:57
*** [X-Scale] has joined #yosys23:07
*** X-Scale has quit IRC23:09
*** [X-Scale] is now known as X-Scale23:09
*** gnufan has quit IRC23:21
*** gnufan has joined #yosys23:22
*** philt has joined #yosys23:37
*** philtor has quit IRC23:38
*** pie_ has quit IRC23:53

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!