Sunday, 2018-06-10

*** tpb has joined #yosys00:00
*** philtor has joined #yosys00:10
*** philtor has quit IRC00:21
*** dxld has quit IRC00:24
*** dxld has joined #yosys00:30
*** ravenexp has quit IRC00:47
*** ravenexp has joined #yosys00:47
*** sklv has quit IRC01:11
*** sklv has joined #yosys01:11
*** subuk has joined #yosys01:28
*** promach_ has joined #yosys01:33
*** maartenBE has quit IRC01:41
*** subuk has quit IRC02:01
*** maartenBE has joined #yosys02:09
*** pie_ has quit IRC02:33
*** philtor has joined #yosys02:41
*** philtor has quit IRC02:46
*** pie_ has joined #yosys03:04
*** pie_ has quit IRC03:04
*** roh has joined #yosys03:34
*** philtor has joined #yosys04:04
*** promach_ has quit IRC04:21
*** leviathan has joined #yosys04:53
*** xerpi has joined #yosys07:07
*** leviathan has quit IRC08:47
*** leviathan has joined #yosys08:48
*** dys has joined #yosys09:30
*** xerpi has quit IRC09:50
*** sklv1 has joined #yosys10:45
*** sklv has quit IRC10:48
*** sklv1 has quit IRC10:52
*** sklv1 has joined #yosys11:09
*** m_t has joined #yosys11:11
*** jwhitmore has joined #yosys11:30
*** _whitelogger has quit IRC11:52
*** _whitelogger has joined #yosys11:54
*** promach_ has joined #yosys12:16
*** ZipCPU|ztop has joined #yosys13:08
cr1901_modern(Rhetorical) Is it normal for "icebox_vlog -l" to get the pin numbers completely wrong?13:13
cr1901_modern_none_ of them match the pcf13:13
cr1901_modernOh I see, my icebox_vlog is too old13:17
daveshahyes, just fixed that  a few days agp13:20
daveshah*ago13:20
daveshahyou can now tell it the package13:20
*** jwhitmore has quit IRC13:24
*** ZipCPU|ztop has quit IRC13:28
cr1901_modernAnd post-PAR synth _also_ suggests my design should work13:30
cr1901_modernfabulous. I have no way to debug this13:30
cr1901_modernI'm really sick of getting in over my head. What am I supposed to do, decap and read the voltages w/ a FIB?13:31
*** xerpi has joined #yosys14:27
*** m_t has quit IRC14:27
tinyfpga_cr1901_modern: do you have your project online anywhere?15:42
cr1901_moderntinyfpga_: Yes, I do. Lemme push changes15:42
cr1901_modernI have one last thing I can try before I'm truly stumped. But of course it's the most effort15:42
cr1901_modernI'll do what ZipCPU does and put a logic analyzer inside (or something that can control the clock one cycle at a time)15:43
cr1901_moderntinyfpga_: https://github.com/cr1901/misoc-lm32-sim15:45
tpbTitle: GitHub - cr1901/misoc-lm32-sim: Testing LiteX LM32 SoCs for bugs using Verilog simulation. (at github.com)15:45
cr1901_moderngit submodule update and then "make TARGET=tinyfpga-soc-no-trigger"15:46
cr1901_modernYou will get 3 .vcd files as output- one pre-synthesis, one post-synthesis, and one post-PAR15:46
cr1901_modernI never get uart output when I load this bitstream to FPGA15:46
cr1901_modernbut it works in all simulations15:46
tinyfpga_cr1901_modern: ok, I’ll try and take a look at it today15:48
tinyfpga_cr1901_modern: which board are you using? A B2 or BX prototype? I can remember what I sent your way.15:54
cr1901_modernB215:54
tinyfpga_cr1901_modern: what were you using for UART to USB?15:54
cr1901_modernI broke out pins 5 and 6 for another UART15:54
cr1901_modern(for now anyway)15:54
tinyfpga_cr1901_modern: what device where you connecting to the B2 to perform UART to USB conversion?15:57
cr1901_modernprolific FTDI cable15:58
tinyfpga_cr1901_modern: can you send a link to the product page?16:06
cr1901_moderntinyfpga_: https://www.adafruit.com/product/95416:08
tpbTitle: USB to TTL Serial Cable - Debug / Console Cable for Raspberry Pi ID: 954 - $9.95 : Adafruit Industries, Unique & fun DIY electronics and kits (at www.adafruit.com)16:08
*** roh has quit IRC17:05
*** develonepi3 has joined #yosys17:09
*** promach_ has quit IRC17:15
*** dys has quit IRC17:21
*** roh has joined #yosys17:28
*** tinyfpga_ is now known as tinyfpga17:31
*** dys has joined #yosys17:55
*** leviathan has quit IRC19:04
*** roh has quit IRC19:43
*** roh has joined #yosys19:44
*** dys has quit IRC21:39
*** jwhitmore has joined #yosys21:45
*** jwhitmore has quit IRC21:53
*** xerpi has quit IRC22:00
*** gnufan1 has quit IRC22:07
*** gnufan has joined #yosys22:17
*** emeb has joined #yosys22:23
*** danieljabailey has quit IRC23:04
*** danieljabailey has joined #yosys23:04
mithroDoes anyone know if the models output by icetime have implementations anywhere?23:15
mithroanyone know if there is a way to stop yosys rotating the inputs on of a lut when outputting to a blif?23:38
mithroI'm assuming it is happening because of "synth_ice40 -nocarry; ice40_opt -unlut; abc -lut 4"23:39

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!