Monday, 2018-06-04

*** tpb has joined #yosys00:00
*** sklv has quit IRC00:16
*** sklv has joined #yosys00:18
*** emeb has quit IRC01:28
promachZipCPU: are you referring specifically to line 277 in your previous statement ?02:15
ZipCPUYes I was.  Now that I look at it again ... does {cnt{0}} have cnt 0's in it?02:21
ZipCPUI've always used an extra set of parens, {(cnt){1'b0}} ... but I'm known for overusing the parentheses02:22
promachZipCPU: overusing the parentheses helps in this case, and I hope this fix is not "temporary"02:31
*** leviathan has joined #yosys03:12
*** leviathan has quit IRC03:15
*** leviathanch has joined #yosys03:15
*** leviathanch_ has joined #yosys03:15
*** leviathanch has quit IRC03:16
*** leviathan has joined #yosys03:16
*** proteusguy has quit IRC04:25
*** seldridge has joined #yosys04:28
*** digshadow has quit IRC05:16
*** digshadow has joined #yosys05:49
*** seldridge has quit IRC06:09
*** GuzTech has joined #yosys07:01
*** emeb_mac has quit IRC07:05
*** FabM has quit IRC07:38
mattvenndid people see this E glitching arduinos with lattice ice40? https://threadreaderapp.com/thread/1003312545862684673.html07:41
mattvennhttps://github.com/deanjerkovich/avr-glitch-10107:48
tpbTitle: GitHub - deanjerkovich/avr-glitch-101: the most basic introduction to performing a Vcc glitch attack (at github.com)07:48
*** proteusguy has joined #yosys07:59
*** leviathan has quit IRC08:03
mattvennI've made a plugin to make your keyboard feel horrible09:24
mattvennhttps://github.com/Dygmalab/Kaleidoscope-AdjustableLatencyJitter09:24
tpbTitle: GitHub - Dygmalab/Kaleidoscope-AdjustableLatencyJitter (at github.com)09:24
mattvennwoops wrong channel - sorry09:25
keesjyea a few people solved the rhme2 glitching challenges using an FPGA ( https://www.youtube.com/watch?v=6Pf3pY3GxBM was also nice)09:28
*** zkrx has joined #yosys10:24
*** oldtopman has quit IRC10:24
*** zkrx_ has quit IRC10:24
*** Kooda has quit IRC10:24
*** vup has quit IRC10:24
*** vup has joined #yosys10:25
*** ralu has quit IRC10:27
*** ralu has joined #yosys10:29
*** oldtopman has joined #yosys10:30
*** Kooda has joined #yosys10:32
*** leviathan has joined #yosys11:17
*** develonepi3 has joined #yosys11:51
*** AlexDaniel has quit IRC12:15
*** AlexDaniel has joined #yosys12:16
*** massi has joined #yosys12:46
*** GuzTech has quit IRC14:01
*** emeb has joined #yosys14:10
*** proteusguy has quit IRC14:39
*** promach_ has joined #yosys15:15
promach_ZipCPU: now with a different computer, using your overused parentheses does not work for induction15:37
ZipCPUTry updating your yosys install15:37
ZipCPU(on both computers)15:38
promach_just updated less than 24 hours ago15:38
promach_I can do it again now15:38
ZipCPUIf they are both up to date, I wouldn't expect any differences15:42
promach_just wait for a while, I am updating yosys to the git version15:43
promach_I mean the latest git15:43
*** gnufan has quit IRC15:53
*** gnufan has joined #yosys15:54
*** leviathan has quit IRC15:54
promach_ZipCPU: updated to latest git15:54
promach_yet...15:54
*** leviathan has joined #yosys15:58
promach_ZipCPU: I will update yosys on the other computer16:12
promach_but again, adding parentheses does not really help for induction16:12
ZipCPUIf it changes the meaning of the expression, then yes it helps.16:13
promach_hmm...16:15
promach_I just hope that I could get around this induction bug before next month, hahaha16:15
promach_I hope god would grant my wish ;)16:16
promach_ZipCPU: just curious, did you actually run my coding under induction ?16:17
ZipCPUDid I invoice you?16:18
promach_oh sorry16:18
promach_wrong question, sorry16:18
promach_hehe16:18
*** develonepi3 has quit IRC16:24
*** promach_ has quit IRC16:44
*** leviathan has quit IRC16:55
*** leviathan has joined #yosys17:01
*** dys has joined #yosys17:16
*** digshadow has quit IRC17:32
*** develonepi3 has joined #yosys17:47
*** jwhitmore has joined #yosys18:04
*** jwhitmore has quit IRC18:11
*** jwhitmore has joined #yosys18:16
*** digshadow has joined #yosys18:18
*** seldridge has joined #yosys18:39
*** leviathan has quit IRC18:41
*** seldridge has quit IRC19:09
*** jwhitmore has quit IRC19:41
*** xerpi has joined #yosys20:02
*** roh has joined #yosys20:14
rohhi there20:14
daveshahroh: hi20:14
shaprhej20:14
shaprgod afton20:14
*** azzizi_ has joined #yosys20:14
rohi am searching for somebody who could help me get my ice40 board flashed. i got the olimex board and the olimex-arduino for flashing via usb. i can read the flash-id fine, but writing the flash never seems to end20:16
azzizi_I am trying to convert verilog to bench file and the sequence of commands follow :20:16
azzizi_YOSYS: read_verilog filename.v :   In case of hierarchy:  read_verilog filename1.v read_verilog filename2.v . read_verilog filenameN.v  hierarchy -check -top filename_of_topmodule // (Don't put the extension of .v) techmap                                                           // Mapping to internal library. dfflibmap -liberty mycells.lib                             //Technology mapping for flipflops.  write_blif filename.blif  A20:16
rohiceprogduino just continues with .....20:16
azzizi_oh no20:16
rohif this is out of scope, i'm sorry and will search elsewhere20:17
azzizi_anybody can help me to convert from verilog to bench please20:17
daveshahroh: not sure how many people here use the olimex boards20:17
daveshahazzizi_: have you seen https://www.reddit.com/r/yosys/comments/85rm6u/a_problem_in_converting_into_bench_format/dw05v2w/20:18
tpbTitle: CliffordVienna comments on A problem in converting into bench format (at www.reddit.com)20:18
rohi understand. i just bought it because it was basically the same price and had some ram chip on it which i liked. could come in handy20:18
daveshahroh: yeah they're really nice board. just don't have any experience with them20:19
azzizi_yes I saw it20:19
azzizi_so does it mean the problem remains ?20:19
daveshahroh: could it be a signal integrity issue maybe, why the flashing fails?20:19
rohdaveshah: i dont think so. it detects the flash type fine.. and since its only spi over 10cm flatflex from some avr20:20
daveshahazzizi_: as clifford posts, I don't think there is necessarily a problem.20:20
daveshahazzizi_: what is it doing wrong?20:20
daveshahroh: I'm afraid I can't really think of anything else. It is probably worth contacting Olimex directly.20:21
rohwell.. thanks anyway :)20:21
azzizi_In .bench files I am getting LUTs instead of gates20:22
azzizi_just like that guy20:22
ZipCPUWhat are you using to do your synthesis or tech mapping?20:23
* ZipCPU reads the backlog and finds out20:23
azzizi_techmap                                                           // Mapping to internal library. dfflibmap -liberty mycells.lib                             //Technology mapping for flipflops.20:23
azzizi_techmap20:24
azzizi_dfflibmap -liberty mycells.lib20:24
ZipCPUDoes yosys properly map to the cells in your mycells.lib?20:24
azzizi_write_blif filename.blif20:24
azzizi_how do I understand if it doesn't ? actually it's a problem my friend is facing20:25
ZipCPUBoth mycells.lib and filename.blif should be textual and (somewhat) legible20:26
ZipCPUIf yosys does its job correctly, it should map the design into the cells in the mycells.lib library20:26
rohyay. i think i fixed it.20:43
rohit seems if you want to run the olimex board from the uisp-3.3v one needs to solder a jumper on the bottom20:44
rohby feeding it peripheral (no jumper, only via the iopins and the clamping diodes) one can exec one spi-flash instruction, the second one fails20:44
daveshahroh: oh nasty, glad you fixed it!21:00
rohnow i can finally start hacking on it :)21:04
rohwow.. my yosys is nearly 160mbyte? is that normal?21:12
rohah. debug symbols.21:14
shaprstrip it!21:15
ZipCPUNot sure I've ever seen a milli-byte before.21:16
shapris that a mibibyte?21:17
rohits a me-too-lazy-for-shift byte21:18
*** milkii has joined #yosys21:23
*** seldridge has joined #yosys21:33
*** seldridge has quit IRC22:06
rohn822:14
*** mwk has quit IRC22:15
*** roh has quit IRC22:19
*** mwk has joined #yosys22:20
*** xerpi has quit IRC22:24
*** dys has quit IRC22:25
*** dys has joined #yosys22:26
*** ralu has quit IRC22:32
*** mjoldfie_ has joined #yosys22:33
*** mjoldfield has quit IRC22:35
*** mjoldfie_ has quit IRC22:52
*** roh has joined #yosys22:57
*** promach_ has joined #yosys23:03
*** gnufan has quit IRC23:18
*** gnufan has joined #yosys23:19
*** develonepi3 has quit IRC23:25
*** ralu has joined #yosys23:32
*** seldridge has joined #yosys23:43
*** seldridge has quit IRC23:53

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!