Wednesday, 2018-05-09

*** tpb has joined #yosys00:00
*** emeb_mac has joined #yosys00:08
*** vup has quit IRC00:21
*** vup has joined #yosys00:22
*** kuldeep_ has quit IRC00:22
*** kuldeep has joined #yosys00:24
*** knielsen has quit IRC01:10
*** leviathan has joined #yosys01:11
*** promach2 has joined #yosys01:12
*** leviathan has quit IRC01:13
*** knielsen has joined #yosys01:21
*** cemerick has joined #yosys01:42
*** promach2 has quit IRC01:49
*** cemerick_ has joined #yosys02:23
*** cemerick has quit IRC02:27
*** AlexDaniel has quit IRC02:28
*** seldridge has quit IRC05:27
*** seldridge has joined #yosys05:47
*** promach_ has quit IRC06:00
*** promach_ has joined #yosys06:04
*** cemerick_ has quit IRC06:11
*** emeb has quit IRC06:20
promach_Do you guys think if we should formally verify a submodule first before formally verifying the overall module itself ?06:38
*** sklv has joined #yosys06:40
*** sklv has quit IRC06:46
*** emeb_mac has quit IRC06:54
*** ratko has joined #yosys07:01
ratkoHey i have question. Does Yosys provide timing and area occupancy of synthesized design on standard cell?07:03
mattvennhey ZipCPU , a picture in your repo would help people understand what it was!07:09
mattvennit's super cool07:09
*** proteusguy has quit IRC07:24
*** FabM has joined #yosys07:25
*** promach_ has quit IRC07:25
*** GuzTech has joined #yosys07:29
*** quigonjinn has quit IRC08:09
*** cr1901_modern has quit IRC08:17
*** jwhitmore has joined #yosys08:18
*** ratko has quit IRC08:20
*** ratko has joined #yosys08:20
*** ratko has quit IRC08:22
*** proteusguy has joined #yosys08:31
*** jwhitmore has quit IRC08:54
*** dys has joined #yosys08:59
*** dys has quit IRC09:03
*** kensan has quit IRC09:14
*** kensan has joined #yosys09:16
*** ravenexp has quit IRC09:17
*** dmin7 has joined #yosys09:36
dmin7hi (again)! .. i'm trying to make icosoc start an application from flash (on the icezero board), but .. it doesn't x). it works fine when i don't have the flashpmem option enabled in icosoc.conf / loading appimage to ram .. but when i enable it i do get the BOOTLOADER> prompt (and i can also write an appimage to it at that point, and it says XX bytes written) .. but after the RUN nothing happens10:18
dmin7anybody knows it it should "just work" that way, by any chance?10:18
*** proteusguy has quit IRC10:19
dmin7(i did check the flash contents and appears to write icosoc and the appimage parts to the right offsets)10:19
dmin7in the wiki it says:10:21
dmin7flashpmem: Use SPI flash as program memory. Executing code from the SPI flash is very slow! But it enables much larger programs to be used with the SoC. This will modify the boot loader and a different linker script will be used to link the program. Everything in the ELF section .text.sram will be placed in SRAM. Make sure that performance critical functions are placed in this ELF section.10:21
*** jwhitmore has joined #yosys10:35
*** kraiskil has joined #yosys10:39
*** jwhitmore has quit IRC10:46
*** dys has joined #yosys10:55
*** AlexDaniel has joined #yosys10:58
*** dys has quit IRC11:03
*** AlexDani` has joined #yosys11:09
*** AlexDaniel has quit IRC11:11
*** dys has joined #yosys11:14
*** AlexDani` is now known as AlexDaniel11:19
*** dys has quit IRC11:28
*** proteusguy has joined #yosys11:35
*** xrexeon has joined #yosys11:42
ZipCPUmattvenn: Like ... what?11:59
*** xrexeon has quit IRC12:08
*** promach_ has joined #yosys12:30
*** _whitelogger has quit IRC13:02
*** _whitelogger has joined #yosys13:05
*** cr1901_modern has joined #yosys13:14
*** jwhitmore has joined #yosys13:18
*** quigonjinn has joined #yosys13:24
*** jwhitmore has quit IRC13:27
*** dys has joined #yosys13:28
*** dys has quit IRC13:32
*** emeb has joined #yosys13:41
*** jwhitmore has joined #yosys14:10
*** seldridge has quit IRC14:11
*** kraiskil has quit IRC14:18
* shapr hops cheerfully14:19
ZipCPUSee the demo image at https://github.com/ZipCPU/vgasim/raw/master/doc/demo.png14:29
* ZipCPU wonders why shapr is hopping, when he was doing cartwheels earlier14:29
* ZipCPU is hoping someone else can answer dmin7's question, as he hasn't (yet) used the flash on his iceoboard.14:32
*** dxld has quit IRC14:33
*** dxld has joined #yosys14:34
*** jwhitmore has quit IRC14:35
*** jwhitmore has joined #yosys14:38
*** jwhitmore has quit IRC14:38
*** jwhitmore has joined #yosys14:40
*** seldridge has joined #yosys14:49
shaprZipCPU: I did cartwheels this weekend at a music festival, it was fun14:53
shapryou've seen me do cartwheels in person14:53
ZipCPU:D14:54
ZipCPUThat's why I was wondering why you were only cheerfully hopping this morning.  :D14:55
*** dys has joined #yosys14:55
shaprcoworkers are confused by cartwheels, not sure why14:55
shaprI need to bring in my unicycle for another lightning talk14:56
shaprthis week I'm doing crowd participation blockchain, our hash function is even/odd parity bits14:56
shaprthat way everyone can do the hashing in their head after drinking beer14:56
ZipCPUSo .... not before the drink, but after?14:56
*** cemerick_ has joined #yosys14:57
shaprI dunno, even/odd parity seems easy to calculate in my head, but that may not be true for everyone14:57
shaprsilly question, where do I look for the list of vendors/chips supported by yosys?14:58
shaprlooks like http://www.clifford.at/icestorm/ isn't the most recent list14:58
tpbTitle: Project IceStorm (at www.clifford.at)14:58
shaproh wait, here it is? http://www.clifford.at/yosys/faq.html14:58
tpbTitle: Yosys Open SYnthesis Suite :: Frequently Asked Questions (at www.clifford.at)14:58
shaprso ice40, xilinx 7-series, greenpak4, gwi1n/gw2a14:59
shaprI was playing shenzhen i/o last night, realized it's not too far off from doing FPGA design14:59
*** cemerick has joined #yosys15:00
*** cemerick_ has quit IRC15:03
*** dys has quit IRC15:05
*** seldridge has quit IRC15:06
*** cemerick_ has joined #yosys15:07
*** promach2 has joined #yosys15:08
*** promach2 has quit IRC15:10
*** cemerick has quit IRC15:11
*** cemerick has joined #yosys15:11
*** cemerick_ has quit IRC15:14
*** seldridge has joined #yosys15:26
*** cemerick_ has joined #yosys15:46
*** promach__ has joined #yosys15:48
*** cemerick has quit IRC15:50
*** promach__ is now known as promach215:56
*** GuzTech has quit IRC16:10
*** ravenexp has joined #yosys16:26
*** dmin7 has quit IRC16:29
*** m_w has quit IRC16:34
ZipCPUshapr: You can also try typing "help" after starting yosys.  Then look at the commands that start with "synth"16:35
ZipCPUTargets therefore also include the coolrunner2, the eASIC platform, ice40, intel, speedster, and the xilinx 7-series chips.16:36
ZipCPUI will say, though, from personal experience that the intel (i.e. altera) support isn't quite up to a usable state (yet).16:36
*** m_w has joined #yosys16:39
*** seldridge has quit IRC16:40
shaprgood to know, thanks17:01
*** dmin7 has joined #yosys17:07
*** seldridge has joined #yosys17:09
*** promach2 has quit IRC17:27
*** cemerick has joined #yosys17:33
*** cemerick_ has quit IRC17:35
*** cemerick_ has joined #yosys17:35
*** cemerick has quit IRC17:38
*** _whitelogger has quit IRC17:39
*** _whitelogger has joined #yosys17:41
*** AlexDaniel has quit IRC17:46
awyglei usually just check the source: https://github.com/YosysHQ/yosys/tree/master/techlibs17:58
tpbTitle: yosys/techlibs at master · YosysHQ/yosys · GitHub (at github.com)17:58
*** ar3itrary has quit IRC17:59
*** ar3itrary has joined #yosys17:59
*** digshadow has quit IRC18:06
*** xrexeon has joined #yosys18:06
*** ssvb has quit IRC18:26
*** digshadow has joined #yosys18:30
*** digshadow has quit IRC18:33
*** digshadow has joined #yosys18:47
*** _whitelogger has quit IRC18:57
*** _whitelogger has joined #yosys18:59
*** m_t has joined #yosys19:19
*** sklv has joined #yosys19:21
*** digshadow has quit IRC19:42
*** jhol has joined #yosys19:49
*** jwhitmore has quit IRC19:59
*** digshadow has joined #yosys20:20
*** etrig has joined #yosys20:40
*** dmin7 has quit IRC20:48
*** seldridge has quit IRC21:03
*** clifford has quit IRC21:03
*** AlexDaniel has joined #yosys21:08
*** dys has joined #yosys21:20
*** dys has quit IRC21:26
*** dys has joined #yosys21:33
*** dys has quit IRC21:37
*** cemerick_ has quit IRC21:56
*** seldridge has joined #yosys22:05
*** m_t has quit IRC22:18
*** clifford has joined #yosys22:20
*** ChanServ sets mode: +o clifford22:20
*** cemerick_ has joined #yosys22:27
*** seldridge has quit IRC22:50
*** cemerick_ has quit IRC23:16
cr1901_modernToday in "Bad TODO lists", I have the following item:23:31
cr1901_modern>Yosys23:31
cr1901_modern>>Fix view bug23:31
cr1901_modernI have no idea what I meant ._.23:31
cr1901_modernClearly I meant the yosys view command, but what was I thinking about? Are there any known bugs w/ "yosys view"?23:32
cr1901_modernshow*23:32
ZipCPUYeah ... I'm not sure what you meant either.23:34
cr1901_modernWell it doesn't really help anyone if I remember finding a bug, but don't remember what it was :(23:36
cr1901_modernWait... I just remembered23:40
cr1901_modernIt was something azonenberg asked me to look at a few months ago23:40
* cr1901_modern will have to look thru ##openfpga logs later23:42
ZipCPU:)23:42

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!