*** tpb has joined #symbiflow | 00:00 | |
sf-slack4 | <arvindsrinivasan> Lofty, should I also build the lastest versions of the plugins or just the base yosys | 00:16 |
---|---|---|
Lofty | I'd stay stick with the current plugins so we can change as few variables as possible | 00:17 |
*** rj has quit IRC | 00:28 | |
*** TMM has quit IRC | 00:48 | |
*** TMM has joined #symbiflow | 00:49 | |
sf-slack4 | <arvindsrinivasan> Okay, sorry for the delay, I now built with the latest yosys and that version of plugins: `Yosys 0.9+3911 (git sha1 dcd9f0af, gcc 10.2.0-13ubuntu1 -fPIC -Os)` ```make: Entering directory '/home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test' cd build/basys3 && symbiflow_synth -t top -v /home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test/counter.v -d artix7 -p | 00:51 |
sf-slack4 | xc7a35tcpg236-1 -x /home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test/basys3.xdc 2>&1 > /dev/null ERROR: Assert `cell->parameters.empty()' failed in passes/techmap/abc9_ops.cc:781. make: *** [Makefile:45: build/basys3/top.eblif] Error 1 make: Leaving directory '/home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test'``` | 00:51 |
sf-slack4 | <arvindsrinivasan> I still get this error though | 00:51 |
*** ByteLawd has quit IRC | 00:56 | |
*** ByteLawd has joined #symbiflow | 00:57 | |
sf-slack4 | <arvindsrinivasan> @lofty, where did you get the 0.9+3833 version from? | 01:00 |
Lofty | Arvind: it's from my personal fork of Yosys, but it works well enough | 01:04 |
Lofty | So, I'm running `yosys -p "synth_xilinx -flatten -abc9 -nosrl -noclkbuf -nodsp -iopad -nowidelut" counter.v`, which is taken straight out of symbiflow_synth | 01:06 |
Lofty | And it compiles cleanly | 01:06 |
*** rj has joined #symbiflow | 01:07 | |
sf-slack4 | <arvindsrinivasan> Hmm | 01:23 |
sf-slack4 | <arvindsrinivasan> So did you try to run the symbiflow_synth directly? | 01:24 |
Lofty | I haven't, since there's a lot of stuff it needs that I don't :P | 01:37 |
*** ByteLawd has quit IRC | 01:37 | |
sf-slack4 | <arvindsrinivasan> Hmm okay | 01:38 |
*** ByteLawd has joined #symbiflow | 01:38 | |
*** ByteLawd has quit IRC | 01:40 | |
*** ByteLawd has joined #symbiflow | 01:40 | |
sf-slack4 | <arvindsrinivasan> Yes okay I can confirm taht behavior | 01:41 |
sf-slack4 | <arvindsrinivasan> So this is an issue with the symbiflow_synth script then? | 01:42 |
sf-slack4 | <arvindsrinivasan> Given I removed the calls by the script to hide its output, I saw this ```21.5.9. Executing ABC9_OPS pass (helper functions for ABC9). <suppressed ~2 debug messages> ERROR: Assert `cell->parameters.empty()' failed in passes/techmap/abc9_ops.cc:781.``` | 01:49 |
sf-slack4 | <arvindsrinivasan> Specifically it seems to make these two yosys calls which I’m confused how you got `yosys -p "synth_xilinx -flatten -abc9 -nosrl -noclkbuf -nodsp -iopad -nowidelut" counter.v` from as I’m a bit unfamiliar with the tool ```yosys -p tcl /home/arvindsrinivasan/opt/symbiflow/xc7/install/share/symbiflow/scripts/xc7/synth.tcl -l top_synth.log | 01:50 |
sf-slack4 | /home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test/counter.v yosys -p read_json top_io.json; tcl /home/arvindsrinivasan/opt/symbiflow/xc7/install/share/symbiflow/scripts/xc7/conv.tcl``` | 01:50 |
*** citypw has joined #symbiflow | 02:10 | |
*** futarisIRCcloud has joined #symbiflow | 02:57 | |
*** umarcor has joined #symbiflow | 03:06 | |
*** Degi has quit IRC | 03:27 | |
*** Degi has joined #symbiflow | 03:30 | |
*** cr1901_modern has quit IRC | 04:04 | |
*** rj has quit IRC | 04:11 | |
*** citypw has quit IRC | 04:12 | |
*** epony has quit IRC | 04:59 | |
*** epony has joined #symbiflow | 05:02 | |
Lofty | https://github.com/SymbiFlow/ibex-yosys-build/blob/master/symbiflow_synth#L144 calls Yosys' TCL interpreter | 05:08 |
Lofty | https://github.com/SymbiFlow/ibex-yosys-build/blob/master/synth.tcl#L34 | 05:08 |
Lofty | Which calls the command I copy/pasted | 05:08 |
Lofty | The only thing that comes to mind is the "overwrite some models" step and the retarget step | 05:09 |
Evidlo | anyone know of open source ethernet IP cores I could use on ECP5? | 05:29 |
Evidlo | long term I'd be looking for 10GbE, but I'm struggling to find even 1GbE or slower | 05:31 |
*** rvalles has quit IRC | 05:31 | |
*** rvalles has joined #symbiflow | 05:31 | |
*** kraiskil_ has joined #symbiflow | 06:10 | |
*** kraiskil_ has quit IRC | 06:23 | |
*** kraiskil_ has joined #symbiflow | 06:38 | |
*** phire has quit IRC | 06:43 | |
*** phire has joined #symbiflow | 06:44 | |
*** kraiskil_ has quit IRC | 07:17 | |
*** epony has quit IRC | 07:21 | |
*** kgugala has quit IRC | 07:24 | |
*** kgugala has joined #symbiflow | 07:24 | |
*** epony has joined #symbiflow | 08:11 | |
*** citypw has joined #symbiflow | 08:26 | |
gatecat | Evidlo: liteeth supports 1GbE on ECP5. I don't know of anyone who's done 10GbE, 10GbE is about at the limit of what the ECP5 can do (particularly in terms of SERDES pin count if you want to actually do smthg useful with it) | 08:52 |
*** Degi_ has joined #symbiflow | 09:55 | |
*** ZirconiumX has joined #symbiflow | 09:56 | |
*** TMM__ has joined #symbiflow | 09:58 | |
*** rvalles_ has joined #symbiflow | 10:01 | |
*** ssb_ has joined #symbiflow | 10:01 | |
*** rvalles has quit IRC | 10:03 | |
*** Degi has quit IRC | 10:03 | |
*** TMM has quit IRC | 10:03 | |
*** ssb has quit IRC | 10:03 | |
*** Lofty has quit IRC | 10:03 | |
*** Degi_ is now known as Degi | 10:03 | |
*** ZirconiumX is now known as Lofty | 10:57 | |
*** cr1901_modern has joined #symbiflow | 12:18 | |
*** kgugala_ has joined #symbiflow | 12:31 | |
*** kgugala has quit IRC | 12:31 | |
*** TMM__ is now known as TMM | 13:22 | |
*** craigo has joined #symbiflow | 13:45 | |
-_whitenotifier-5- [fpga-interchange-schema] gatecat opened issue #14: Inverter cell type - https://git.io/JtF47 | 14:06 | |
*** epony has quit IRC | 14:20 | |
*** citypw has quit IRC | 14:21 | |
*** rj has joined #symbiflow | 14:36 | |
*** epony has joined #symbiflow | 14:37 | |
sf-slack4 | <arvindsrinivasan> Lofty, I guess what do you suggest at this point? | 14:50 |
sf-slack4 | <arvindsrinivasan> like I guess I could write my own makefile to support this process and not use the existing scripts | 14:50 |
Lofty | Hmm. | 14:51 |
sf-slack4 | <arvindsrinivasan> Though I’m confused by how when you run it without the script it doesn’t give the error that I am able to get here | 15:01 |
*** ssb_ is now known as ssb | 15:13 | |
*** Niklas[m] has quit IRC | 16:00 | |
sf-slack4 | <arvindsrinivasan> Does anyone have recommendations for a project I can copy a good makefile from for this? | 16:02 |
sf-slack4 | <pgielda> I do not see honestly how rewriting it as a new Makefile should help. especially if you plan to take it from another place... | 16:29 |
sf-slack4 | <pgielda> also contrary to what Lofty says I would not say that "my personal fork of Yosys, but it works well enough" is a great approach if you expect anyone to reproduce the problem | 16:30 |
Lofty | pgielda: which is why I also tested with Yosys master | 16:30 |
sf-slack4 | <pgielda> You have to define Yosys master | 16:31 |
Lofty | Yosys 0.9+3911 (git sha1 dcd9f0af2, ccache clang 11.0.1 -fPIC -Os) | 16:31 |
sf-slack4 | <pgielda> this is not enough info | 16:32 |
Lofty | https://github.com/YosysHQ/yosys/commit/dcd9f0af23f9b580b044890452ecf1aef59bbb85 | 16:32 |
Lofty | Yes it is | 16:32 |
sf-slack4 | <pgielda> we are not using this yosys for xc7 | 16:32 |
sf-slack4 | <pgielda> we are using https://github.com/SymbiFlow/yosys | 16:32 |
sf-slack4 | <pgielda> which has changes that YosysHQ does not have | 16:32 |
sf-slack4 | <arvindsrinivasan> Okay, so I can confirm the version I tried was the one Lofty recommended | 16:33 |
sf-slack4 | <arvindsrinivasan> 0.9+3911 and it had the same issue with ABC9_ops | 16:33 |
sf-slack4 | <pgielda> I mean its fine to use anything anyone wants, I just mean that the toolchain is tested against a different repo | 16:33 |
sf-slack4 | <arvindsrinivasan> I’ll try building the one for symbiflow yosys now | 16:33 |
sf-slack4 | <pgielda> also symbiflow-examples is hooked to a specific commit for those repos | 16:34 |
sf-slack4 | <arvindsrinivasan> Yes I tried to build that commit | 16:34 |
sf-slack4 | <arvindsrinivasan> except I encountered the error that I’ve been trying to debug for a while now | 16:34 |
sf-slack4 | <pgielda> there is a CI in symbiflow-examples | 16:35 |
Lofty | pgielda: I am pretty confident that SymbiFlow did not change ABC9 in any fundamental way | 16:35 |
sf-slack4 | <arvindsrinivasan> The issue I’m having is CI uses conda for its packages | 16:35 |
sf-slack4 | <pgielda> Sure, but why use something else while hunting a bug? | 16:35 |
Lofty | But it certainly doesn't hurt to check if the version can be reproduced with YosysHQ master | 16:35 |
sf-slack4 | <pgielda> I mean if there is a bug with conda | 16:35 |
sf-slack4 | <arvindsrinivasan> and I’m trying to not rely on conda but build my own version | 16:35 |
sf-slack4 | <pgielda> it would make sense to try to only have one moving piece | 16:35 |
sf-slack4 | <pgielda> (I am not saying its impossible that there is some kind of a bug, e.g. something wrong with conda packaging etc, but then it has to be proven somehow) | 16:36 |
sf-slack4 | <pgielda> preferably with an issue that proves it by providing a set of instructions | 16:36 |
sf-slack4 | <pgielda> that can be run in a container | 16:36 |
sf-slack4 | <pgielda> and show the issue | 16:36 |
Lofty | pgielda: to me that moving piece is SymbiFlow's fork of Yosys, and to control the baseline should not be the SymbiFlow fork but the upstream codebase | 16:37 |
Lofty | pgielda: also, arvind did exactly that | 16:37 |
Lofty | (and thank you, arvind, for that) | 16:37 |
sf-slack4 | <pgielda> You mean there is an issue? | 16:38 |
sf-slack4 | <pgielda> I might have overlooked it | 16:38 |
Lofty | I mean there are steps pasted in the backlog to reproduce | 16:38 |
sf-slack4 | <pgielda> beacuse chat is lossy, it will disappear in few days, covered with new conversations. If there is a bug it would make sense to open the issue on github (unless its already there and I've overlooked it) | 16:39 |
Lofty | pgielda: https://gist.github.com/Ravenslofty/7183f195fcea396d1a526f4359fc38cf | 16:41 |
Lofty | It's not the most readable on GitHub, but there it is | 16:42 |
sf-slack4 | <pgielda> well that is not really an issue | 16:43 |
sf-slack4 | <pgielda> I mean open it somewhere so that someone can hopefully pick it up and work on it | 16:43 |
* Lofty shrugs | 16:45 | |
Lofty | arvindsrinivasan: are you still around? | 16:45 |
sf-slack4 | <arvindsrinivasan> Hi | 16:45 |
sf-slack4 | <arvindsrinivasan> Whats up | 16:45 |
sf-slack4 | <arvindsrinivasan> @pgielda https://symbiflow.slack.com/archives/CGCL3DBMM/p1614209229034600 I started working here | 16:46 |
Lofty | Could you or somebody point me to where `iobs.v` and `retarget.v` are? | 16:46 |
Lofty | If you do that, I can fire up `bugpoint` to minimise a testcase | 16:46 |
Lofty | And hopefully get it filed and/or fixed upstream. | 16:46 |
Lofty | (or downstream, as I suspect the answer might be) | 16:47 |
sf-slack4 | <acomodi> Lofty: https://github.com/SymbiFlow/symbiflow-arch-defs/tree/master/xc/xc7/techmap | 16:47 |
Lofty | Ah, thank you | 16:48 |
Lofty | arvindsrinivasan: Do you have a ".premap.v" file produced when you run symbiflow_synth? | 16:51 |
Lofty | https://github.com/SymbiFlow/ibex-yosys-build/blob/master/synth.tcl#L153 <-- did somebody mean to not run ABC9 here? | 16:51 |
sf-slack4 | <arvindsrinivasan> Checking now | 16:52 |
sf-slack4 | <arvindsrinivasan> Yes I do | 16:55 |
sf-slack4 | <arvindsrinivasan> Do you want it? | 16:55 |
Lofty | Yes please | 16:55 |
Lofty | Even knowing it exists helps a lot though: it means the initial command I was running - and assumed failed - actually succeeds. | 16:55 |
Lofty | Actually | 16:56 |
Lofty | arvindsrinivasan: if you can find a ".pre_abc9.ilang", send that instead | 16:57 |
sf-slack4 | <arvindsrinivasan> https://pastebin.com/8ePDW3tk sorry for the delay, here is premap.v | 17:01 |
tpb | Title: /* Generated by Yosys 0.9+3911 (git sha1 dcd9f0af, gcc 10.2.0-13ubuntu1 -fPIC -O - Pastebin.com (at pastebin.com) | 17:01 |
Lofty | There at least *should* be a .pre_abc9.ilang file | 17:02 |
sf-slack4 | <arvindsrinivasan> Rip the pre_abc9.ilang file is too big for pastebin | 17:03 |
sf-slack4 | <arvindsrinivasan> Let me find an alternate way of sending that | 17:04 |
sf-slack4 | <arvindsrinivasan> https://we.tl/t-aEazzW8wq3 here is the link to the file lofty | 17:07 |
*** mkru has joined #symbiflow | 17:11 | |
Lofty | > ERROR: Module `FDRE' is used with parameters but is not parametric! | 17:13 |
Lofty | And there we have it. | 17:13 |
Lofty | That might not look like the same error as ABC9, but it's the same underlying cause. | 17:13 |
sf-slack4 | <arvindsrinivasan> How do I resolve this issue? | 17:14 |
Lofty | Let me think, although I do have a hunch. | 17:16 |
litghost | For clarity, FDRE clearly has a parameter in the primitive library (e.g. "INIT")? | 17:17 |
Lofty | arvindsrinivasan: Can you find your copy of retarget.v and replace __TECHMAP_REPLACE__ [which is wrong] with _TECHMAP_REPLACE_ ? | 17:19 |
sf-slack4 | <arvindsrinivasan> Any idea where that would be? | 17:20 |
Lofty | symbiflow-arch-defs | 17:20 |
sf-slack4 | <arvindsrinivasan> Okay found it | 17:21 |
sf-slack4 | <arvindsrinivasan> To confirm | 17:22 |
sf-slack4 | <arvindsrinivasan> I remove the __ before and after it? | 17:22 |
Lofty | litghost: Correct, but all modules must be monomorphised before ABC9, and the assert that fires says that this isn't the case | 17:23 |
Lofty | You remove `_` (single underscore) before and after it so that it reads `_TECHMAP_REPLACE_` | 17:23 |
sf-slack4 | <arvindsrinivasan> Cool | 17:24 |
Lofty | `_TECHMAP_REPLACE_` is a magic keyword, `__TECHMAP_REPLACE__` is not | 17:25 |
sf-slack4 | <arvindsrinivasan> Well I did that and ran the target make again | 17:25 |
sf-slack4 | <arvindsrinivasan> ```21.5.9. Executing ABC9_OPS pass (helper functions for ABC9). <suppressed ~2 debug messages> ERROR: Assert `cell->parameters.empty()' failed in passes/techmap/abc9_ops.cc:781. make: *** [Makefile:45: build/basys3/top.eblif] Error 1 make: Leaving directory '/home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test'``` | 17:25 |
sf-slack4 | <arvindsrinivasan> Same error | 17:25 |
Lofty | Hmm. Okay, well, that's something that SymbiFlow needs to fix anyway | 17:25 |
sf-slack4 | <arvindsrinivasan> Alright, anythihng else you want me to try to fix? | 17:26 |
Lofty | Hmm | 17:30 |
Lofty | Okay, so. | 17:31 |
Lofty | The cell in question must be FDRE. | 17:35 |
Lofty | And the failing command would be `abc9_ops -prep_hier -prep_bypass` | 17:38 |
Lofty | Well, actually no | 17:39 |
Lofty | `abc9_ops -prep_dff_submod` instead | 17:39 |
Lofty | Which is odd, because the assert is instead in prep_xaiger() | 17:43 |
sf-slack4 | <arvindsrinivasan> Hmm do you want my abc9.ilang again Lofy? | 18:07 |
Lofty | Annoyingly, this is a problem with parametric modules | 18:08 |
Lofty | And these are one of the things that does not round trip through ILANG. | 18:08 |
*** kraiskil has joined #symbiflow | 18:17 | |
*** mkru has quit IRC | 18:23 | |
mithro | litghost / acomodi: https://github.com/SymbiFlow/prjxray-db/compare/master...mithro:master | 18:52 |
*** craigo has quit IRC | 19:49 | |
*** craigo has joined #symbiflow | 19:51 | |
-_whitenotifier-5- [python-fpga-interchange] litghost opened issue #27: Need a FPGA interchange to FASM generator - https://git.io/JtFbI | 20:24 | |
litghost | mithro: LGTM | 20:26 |
*** daniellimws_ has joined #symbiflow | 20:35 | |
*** anuejn_ has joined #symbiflow | 20:36 | |
*** ZipCPU_ has joined #symbiflow | 20:37 | |
*** xtropro has joined #symbiflow | 20:37 | |
*** kmehall has quit IRC | 20:37 | |
*** awygle has quit IRC | 20:37 | |
*** scientes_ has quit IRC | 20:37 | |
*** bjorkint0sh has joined #symbiflow | 20:37 | |
*** nickoe_ has joined #symbiflow | 20:37 | |
*** scientes has joined #symbiflow | 20:37 | |
*** nickoe has quit IRC | 20:37 | |
*** ZipCPU has quit IRC | 20:37 | |
*** anuejn has quit IRC | 20:37 | |
*** craigo has quit IRC | 20:37 | |
*** Degi has quit IRC | 20:37 | |
*** daniellimws has quit IRC | 20:37 | |
*** xtro has quit IRC | 20:37 | |
*** lopsided98 has quit IRC | 20:37 | |
*** diamondman has quit IRC | 20:37 | |
*** ZipCPU_ is now known as ZipCPU | 20:37 | |
*** awygle has joined #symbiflow | 20:37 | |
*** lopsided98 has joined #symbiflow | 20:37 | |
*** kmehall has joined #symbiflow | 20:37 | |
*** asy__ has joined #symbiflow | 20:37 | |
*** diamondman has joined #symbiflow | 20:37 | |
*** BonusPlay8 has joined #symbiflow | 20:37 | |
*** diamondman has quit IRC | 20:37 | |
*** diamondman has joined #symbiflow | 20:37 | |
*** bjorkintosh has quit IRC | 20:37 | |
-_whitenotifier-5- [python-fpga-interchange] litghost opened issue #28: Need to create integrated FPGA interchange CI - https://git.io/JtFbA | 20:38 | |
*** ric96 has quit IRC | 20:38 | |
*** asy_ has quit IRC | 20:38 | |
*** BonusPlay has quit IRC | 20:38 | |
*** BonusPlay8 is now known as BonusPlay | 20:38 | |
*** Degi has joined #symbiflow | 20:39 | |
*** craigo has joined #symbiflow | 20:39 | |
*** ric96 has joined #symbiflow | 20:40 | |
*** xobs has quit IRC | 20:40 | |
*** unrznbl[m] has quit IRC | 20:40 | |
*** Evidlo has quit IRC | 20:40 | |
*** join_subline has quit IRC | 20:40 | |
*** microcolonel has quit IRC | 20:40 | |
*** nickoe_ is now known as nickoe | 20:41 | |
*** microcolonel has joined #symbiflow | 20:41 | |
*** join_subline has joined #symbiflow | 20:41 | |
*** lopsided98 has quit IRC | 20:42 | |
*** promach3 has quit IRC | 20:43 | |
*** kraiskil has quit IRC | 20:44 | |
-_whitenotifier-5- [nextpnr] litghost opened issue #232: XDC parser enchancements - https://git.io/JtFNC | 20:45 | |
*** lopsided98 has joined #symbiflow | 20:48 | |
-_whitenotifier-5- [vtr-verilog-to-routing] litghost opened issue #566: Need FPGA device database backend from VPR - https://git.io/JtFNg | 20:49 | |
*** kraiskil has joined #symbiflow | 20:50 | |
-_whitenotifier-5- [python-fpga-interchange] litghost opened issue #29: Add XML support to plaintext FPGA interchange - https://git.io/JtFNo | 20:51 | |
-_whitenotifier-5- [python-fpga-interchange] litghost opened issue #30: Improvement YAML anchor names - https://git.io/JtFNQ | 20:54 | |
-_whitenotifier-5- [fpga-tool-perf] litghost opened issue #316: Add FPGA interchange nextpnr implementation - https://git.io/JtFAy | 21:06 | |
*** unrznbl[m] has joined #symbiflow | 21:15 | |
-_whitenotifier-5- [fpga-interchange-schema] litghost opened issue #15: Need to define timing model data - https://git.io/JtFxn | 21:15 | |
*** Evidlo has joined #symbiflow | 21:15 | |
*** xobs has joined #symbiflow | 21:15 | |
*** promach3 has joined #symbiflow | 21:19 | |
*** _whitelogger has quit IRC | 21:24 | |
-_whitenotifier-5- [fpga-interchange-schema] litghost opened issue #16: Need to add Read the Docs build and initial documentation structure - https://git.io/JtFpq | 21:27 | |
*** _whitelogger has joined #symbiflow | 21:27 | |
*** kraiskil has quit IRC | 21:32 | |
*** FFY00_ has joined #symbiflow | 21:44 | |
*** FFY00 has quit IRC | 21:47 | |
*** FFY00 has joined #symbiflow | 21:48 | |
-_whitenotifier-5- [nextpnr] litghost opened issue #234: Need site routing test framework - https://git.io/JtFhz | 21:49 | |
*** FFY00_ has quit IRC | 21:50 | |
*** kgugala has joined #symbiflow | 21:57 | |
*** kgugala_ has quit IRC | 22:00 | |
*** TMM has quit IRC | 23:04 | |
*** TMM has joined #symbiflow | 23:05 | |
*** smkz has quit IRC | 23:07 | |
*** smkz has joined #symbiflow | 23:12 | |
*** xtropro is now known as xtro | 23:14 | |
*** xtro is now known as Guest89213 | 23:15 | |
*** Guest89213 is now known as xtro | 23:16 | |
mithro | litghost: Pushed to master | 23:47 |
Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!