Thursday, 2021-02-25

*** tpb has joined #symbiflow00:00
sf-slack4<arvindsrinivasan> Lofty, should I also build the lastest versions of the plugins or just the base yosys00:16
LoftyI'd stay stick with the current plugins so we can change as few variables as possible00:17
*** rj has quit IRC00:28
*** TMM has quit IRC00:48
*** TMM has joined #symbiflow00:49
sf-slack4<arvindsrinivasan> Okay, sorry for the delay, I now built with the latest yosys and that version of plugins: `Yosys 0.9+3911 (git sha1 dcd9f0af, gcc 10.2.0-13ubuntu1 -fPIC -Os)`  ```make: Entering directory '/home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test' cd build/basys3 && symbiflow_synth -t top -v /home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test/counter.v -d artix7 -p00:51
sf-slack4xc7a35tcpg236-1 -x /home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test/basys3.xdc 2>&1 > /dev/null ERROR: Assert `cell->parameters.empty()' failed in passes/techmap/abc9_ops.cc:781. make: *** [Makefile:45: build/basys3/top.eblif] Error 1 make: Leaving directory '/home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test'```00:51
sf-slack4<arvindsrinivasan> I still get this error though00:51
*** ByteLawd has quit IRC00:56
*** ByteLawd has joined #symbiflow00:57
sf-slack4<arvindsrinivasan> @lofty, where did you get the 0.9+3833 version from?01:00
LoftyArvind: it's from my personal fork of Yosys, but it works well enough01:04
LoftySo, I'm running `yosys -p "synth_xilinx -flatten -abc9 -nosrl -noclkbuf -nodsp -iopad -nowidelut" counter.v`, which is taken straight out of symbiflow_synth01:06
LoftyAnd it compiles cleanly01:06
*** rj has joined #symbiflow01:07
sf-slack4<arvindsrinivasan> Hmm01:23
sf-slack4<arvindsrinivasan> So did you try to run the symbiflow_synth directly?01:24
LoftyI haven't, since there's a lot of stuff it needs that I don't :P01:37
*** ByteLawd has quit IRC01:37
sf-slack4<arvindsrinivasan> Hmm okay01:38
*** ByteLawd has joined #symbiflow01:38
*** ByteLawd has quit IRC01:40
*** ByteLawd has joined #symbiflow01:40
sf-slack4<arvindsrinivasan> Yes okay I can confirm taht behavior01:41
sf-slack4<arvindsrinivasan> So this is an issue with the symbiflow_synth script then?01:42
sf-slack4<arvindsrinivasan> Given I removed the calls by the script to hide its output, I saw this ```21.5.9. Executing ABC9_OPS pass (helper functions for ABC9). <suppressed ~2 debug messages> ERROR: Assert `cell->parameters.empty()' failed in passes/techmap/abc9_ops.cc:781.```01:49
sf-slack4<arvindsrinivasan> Specifically it seems to make these two yosys calls which I’m confused how you got `yosys -p "synth_xilinx -flatten -abc9 -nosrl -noclkbuf -nodsp -iopad -nowidelut" counter.v` from as I’m a bit unfamiliar with the tool ```yosys -p tcl /home/arvindsrinivasan/opt/symbiflow/xc7/install/share/symbiflow/scripts/xc7/synth.tcl -l top_synth.log01:50
sf-slack4/home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test/counter.v yosys -p read_json top_io.json; tcl /home/arvindsrinivasan/opt/symbiflow/xc7/install/share/symbiflow/scripts/xc7/conv.tcl```01:50
*** citypw has joined #symbiflow02:10
*** futarisIRCcloud has joined #symbiflow02:57
*** umarcor has joined #symbiflow03:06
*** Degi has quit IRC03:27
*** Degi has joined #symbiflow03:30
*** cr1901_modern has quit IRC04:04
*** rj has quit IRC04:11
*** citypw has quit IRC04:12
*** epony has quit IRC04:59
*** epony has joined #symbiflow05:02
Loftyhttps://github.com/SymbiFlow/ibex-yosys-build/blob/master/symbiflow_synth#L144 calls Yosys' TCL interpreter05:08
Loftyhttps://github.com/SymbiFlow/ibex-yosys-build/blob/master/synth.tcl#L3405:08
LoftyWhich calls the command I copy/pasted05:08
LoftyThe only thing that comes to mind is the "overwrite some models" step and the retarget step05:09
Evidloanyone know of open source ethernet IP cores I could use on ECP5?05:29
Evidlolong term I'd be looking for 10GbE, but I'm struggling to find even 1GbE or slower05:31
*** rvalles has quit IRC05:31
*** rvalles has joined #symbiflow05:31
*** kraiskil_ has joined #symbiflow06:10
*** kraiskil_ has quit IRC06:23
*** kraiskil_ has joined #symbiflow06:38
*** phire has quit IRC06:43
*** phire has joined #symbiflow06:44
*** kraiskil_ has quit IRC07:17
*** epony has quit IRC07:21
*** kgugala has quit IRC07:24
*** kgugala has joined #symbiflow07:24
*** epony has joined #symbiflow08:11
*** citypw has joined #symbiflow08:26
gatecatEvidlo: liteeth supports 1GbE on ECP5. I don't know of anyone who's done 10GbE, 10GbE is about at the limit of what the ECP5 can do (particularly in terms of SERDES pin count if you want to actually do smthg useful with it)08:52
*** Degi_ has joined #symbiflow09:55
*** ZirconiumX has joined #symbiflow09:56
*** TMM__ has joined #symbiflow09:58
*** rvalles_ has joined #symbiflow10:01
*** ssb_ has joined #symbiflow10:01
*** rvalles has quit IRC10:03
*** Degi has quit IRC10:03
*** TMM has quit IRC10:03
*** ssb has quit IRC10:03
*** Lofty has quit IRC10:03
*** Degi_ is now known as Degi10:03
*** ZirconiumX is now known as Lofty10:57
*** cr1901_modern has joined #symbiflow12:18
*** kgugala_ has joined #symbiflow12:31
*** kgugala has quit IRC12:31
*** TMM__ is now known as TMM13:22
*** craigo has joined #symbiflow13:45
-_whitenotifier-5- [fpga-interchange-schema] gatecat opened issue #14: Inverter cell type - https://git.io/JtF4714:06
*** epony has quit IRC14:20
*** citypw has quit IRC14:21
*** rj has joined #symbiflow14:36
*** epony has joined #symbiflow14:37
sf-slack4<arvindsrinivasan> Lofty, I guess what do you suggest at this point?14:50
sf-slack4<arvindsrinivasan> like I guess I could write my own makefile to support this process and not use the existing scripts14:50
LoftyHmm.14:51
sf-slack4<arvindsrinivasan> Though I’m confused by how when you run it without the script it doesn’t give the error that I am able to get here15:01
*** ssb_ is now known as ssb15:13
*** Niklas[m] has quit IRC16:00
sf-slack4<arvindsrinivasan> Does anyone have recommendations for a project I can copy a good makefile from for this?16:02
sf-slack4<pgielda> I do not see honestly how rewriting it as a new Makefile should help. especially if you plan to take it from another place...16:29
sf-slack4<pgielda> also contrary to what Lofty says I would not say that "my personal fork of Yosys, but it works well enough" is a great approach if you expect anyone to reproduce the problem16:30
Loftypgielda: which is why I also tested with Yosys master16:30
sf-slack4<pgielda> You have to define Yosys master16:31
LoftyYosys 0.9+3911 (git sha1 dcd9f0af2, ccache clang 11.0.1 -fPIC -Os)16:31
sf-slack4<pgielda> this is not enough info16:32
Loftyhttps://github.com/YosysHQ/yosys/commit/dcd9f0af23f9b580b044890452ecf1aef59bbb8516:32
LoftyYes it is16:32
sf-slack4<pgielda> we are not using this yosys for xc716:32
sf-slack4<pgielda> we are using https://github.com/SymbiFlow/yosys16:32
sf-slack4<pgielda> which has changes that YosysHQ does not have16:32
sf-slack4<arvindsrinivasan> Okay, so I can confirm the version I tried was the one Lofty recommended16:33
sf-slack4<arvindsrinivasan> 0.9+3911 and it had the same issue with ABC9_ops16:33
sf-slack4<pgielda> I mean its fine to use anything anyone wants, I just mean that the toolchain is tested against a different repo16:33
sf-slack4<arvindsrinivasan> I’ll try building the one for symbiflow yosys now16:33
sf-slack4<pgielda> also symbiflow-examples is hooked to a specific commit for those repos16:34
sf-slack4<arvindsrinivasan> Yes I tried to build that commit16:34
sf-slack4<arvindsrinivasan> except I encountered the error that I’ve been trying to debug for a while now16:34
sf-slack4<pgielda> there is a CI in symbiflow-examples16:35
Loftypgielda: I am pretty confident that SymbiFlow did not change ABC9 in any fundamental way16:35
sf-slack4<arvindsrinivasan> The issue I’m having is CI uses conda for its packages16:35
sf-slack4<pgielda> Sure, but why use something else while hunting a bug?16:35
LoftyBut it certainly doesn't hurt to check if the version can be reproduced with YosysHQ master16:35
sf-slack4<pgielda> I mean if there is a bug with conda16:35
sf-slack4<arvindsrinivasan> and I’m trying to not rely on conda but build my own version16:35
sf-slack4<pgielda> it would make sense to try to only have one moving piece16:35
sf-slack4<pgielda> (I am not saying its impossible that there is some kind of a bug, e.g. something wrong with conda packaging etc, but then it has to be proven somehow)16:36
sf-slack4<pgielda> preferably with an issue that proves it by providing a set of instructions16:36
sf-slack4<pgielda> that can be run in a container16:36
sf-slack4<pgielda> and show the issue16:36
Loftypgielda: to me that moving piece is SymbiFlow's fork of Yosys, and to control the baseline should not be the SymbiFlow fork but the upstream codebase16:37
Loftypgielda: also, arvind did exactly that16:37
Lofty(and thank you, arvind, for that)16:37
sf-slack4<pgielda> You mean there is an issue?16:38
sf-slack4<pgielda> I might have overlooked it16:38
LoftyI mean there are steps pasted in the backlog to reproduce16:38
sf-slack4<pgielda> beacuse chat is lossy, it will disappear in few days, covered with new conversations. If there is a bug it would make sense to open the issue on github (unless its already there and I've overlooked it)16:39
Loftypgielda: https://gist.github.com/Ravenslofty/7183f195fcea396d1a526f4359fc38cf16:41
LoftyIt's not the most readable on GitHub, but there it is16:42
sf-slack4<pgielda> well that is not really an issue16:43
sf-slack4<pgielda> I mean open it somewhere so that someone can hopefully pick it up and work on it16:43
* Lofty shrugs16:45
Loftyarvindsrinivasan: are you still around?16:45
sf-slack4<arvindsrinivasan> Hi16:45
sf-slack4<arvindsrinivasan> Whats up16:45
sf-slack4<arvindsrinivasan> @pgielda https://symbiflow.slack.com/archives/CGCL3DBMM/p1614209229034600 I started working here16:46
LoftyCould you or somebody point me to where `iobs.v` and `retarget.v` are?16:46
LoftyIf you do that, I can fire up `bugpoint` to minimise a testcase16:46
LoftyAnd hopefully get it filed and/or fixed upstream.16:46
Lofty(or downstream, as I suspect the answer might be)16:47
sf-slack4<acomodi> Lofty: https://github.com/SymbiFlow/symbiflow-arch-defs/tree/master/xc/xc7/techmap16:47
LoftyAh, thank you16:48
Loftyarvindsrinivasan: Do you have a ".premap.v" file produced when you run symbiflow_synth?16:51
Loftyhttps://github.com/SymbiFlow/ibex-yosys-build/blob/master/synth.tcl#L153 <-- did somebody mean to not run ABC9 here?16:51
sf-slack4<arvindsrinivasan> Checking now16:52
sf-slack4<arvindsrinivasan> Yes I do16:55
sf-slack4<arvindsrinivasan> Do you want it?16:55
LoftyYes please16:55
LoftyEven knowing it exists helps a lot though: it means the initial command I was running - and assumed failed - actually succeeds.16:55
LoftyActually16:56
Loftyarvindsrinivasan: if you can find a ".pre_abc9.ilang", send that instead16:57
sf-slack4<arvindsrinivasan> https://pastebin.com/8ePDW3tk sorry for the delay, here is premap.v17:01
tpbTitle: /* Generated by Yosys 0.9+3911 (git sha1 dcd9f0af, gcc 10.2.0-13ubuntu1 -fPIC -O - Pastebin.com (at pastebin.com)17:01
LoftyThere at least *should* be a .pre_abc9.ilang file17:02
sf-slack4<arvindsrinivasan> Rip the pre_abc9.ilang file is too big for pastebin17:03
sf-slack4<arvindsrinivasan> Let me find an alternate way of sending that17:04
sf-slack4<arvindsrinivasan> https://we.tl/t-aEazzW8wq3 here is the link to the file lofty17:07
*** mkru has joined #symbiflow17:11
Lofty> ERROR: Module `FDRE' is used with parameters but is not parametric!17:13
LoftyAnd there we have it.17:13
LoftyThat might not look like the same error as ABC9, but it's the same underlying cause.17:13
sf-slack4<arvindsrinivasan> How do I resolve this issue?17:14
LoftyLet me think, although I do have a hunch.17:16
litghostFor clarity, FDRE clearly has a parameter in the primitive library (e.g. "INIT")?17:17
Loftyarvindsrinivasan: Can you find your copy of retarget.v and replace __TECHMAP_REPLACE__ [which is wrong] with _TECHMAP_REPLACE_ ?17:19
sf-slack4<arvindsrinivasan> Any idea where that would be?17:20
Loftysymbiflow-arch-defs17:20
sf-slack4<arvindsrinivasan> Okay found it17:21
sf-slack4<arvindsrinivasan> To confirm17:22
sf-slack4<arvindsrinivasan> I remove the __ before and after it?17:22
Loftylitghost: Correct, but all modules must be monomorphised before ABC9, and the assert that fires says that this isn't the case17:23
LoftyYou remove `_` (single underscore) before and after it so that it reads `_TECHMAP_REPLACE_`17:23
sf-slack4<arvindsrinivasan> Cool17:24
Lofty`_TECHMAP_REPLACE_` is a magic keyword, `__TECHMAP_REPLACE__` is not17:25
sf-slack4<arvindsrinivasan> Well I did that and ran the target make again17:25
sf-slack4<arvindsrinivasan> ```21.5.9. Executing ABC9_OPS pass (helper functions for ABC9). <suppressed ~2 debug messages> ERROR: Assert `cell->parameters.empty()' failed in passes/techmap/abc9_ops.cc:781. make: *** [Makefile:45: build/basys3/top.eblif] Error 1 make: Leaving directory '/home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test'```17:25
sf-slack4<arvindsrinivasan> Same error17:25
LoftyHmm. Okay, well, that's something that SymbiFlow needs to fix anyway17:25
sf-slack4<arvindsrinivasan> Alright, anythihng else you want me to try to fix?17:26
LoftyHmm17:30
LoftyOkay, so.17:31
LoftyThe cell in question must be FDRE.17:35
LoftyAnd the failing command would be `abc9_ops -prep_hier -prep_bypass`17:38
LoftyWell, actually no17:39
Lofty`abc9_ops -prep_dff_submod` instead17:39
LoftyWhich is odd, because the assert is instead in prep_xaiger()17:43
sf-slack4<arvindsrinivasan> Hmm do you want my abc9.ilang again Lofy?18:07
LoftyAnnoyingly, this is a problem with parametric modules18:08
LoftyAnd these are one of the things that does not round trip through ILANG.18:08
*** kraiskil has joined #symbiflow18:17
*** mkru has quit IRC18:23
mithrolitghost / acomodi: https://github.com/SymbiFlow/prjxray-db/compare/master...mithro:master18:52
*** craigo has quit IRC19:49
*** craigo has joined #symbiflow19:51
-_whitenotifier-5- [python-fpga-interchange] litghost opened issue #27: Need a FPGA interchange to FASM generator - https://git.io/JtFbI20:24
litghostmithro: LGTM20:26
*** daniellimws_ has joined #symbiflow20:35
*** anuejn_ has joined #symbiflow20:36
*** ZipCPU_ has joined #symbiflow20:37
*** xtropro has joined #symbiflow20:37
*** kmehall has quit IRC20:37
*** awygle has quit IRC20:37
*** scientes_ has quit IRC20:37
*** bjorkint0sh has joined #symbiflow20:37
*** nickoe_ has joined #symbiflow20:37
*** scientes has joined #symbiflow20:37
*** nickoe has quit IRC20:37
*** ZipCPU has quit IRC20:37
*** anuejn has quit IRC20:37
*** craigo has quit IRC20:37
*** Degi has quit IRC20:37
*** daniellimws has quit IRC20:37
*** xtro has quit IRC20:37
*** lopsided98 has quit IRC20:37
*** diamondman has quit IRC20:37
*** ZipCPU_ is now known as ZipCPU20:37
*** awygle has joined #symbiflow20:37
*** lopsided98 has joined #symbiflow20:37
*** kmehall has joined #symbiflow20:37
*** asy__ has joined #symbiflow20:37
*** diamondman has joined #symbiflow20:37
*** BonusPlay8 has joined #symbiflow20:37
*** diamondman has quit IRC20:37
*** diamondman has joined #symbiflow20:37
*** bjorkintosh has quit IRC20:37
-_whitenotifier-5- [python-fpga-interchange] litghost opened issue #28: Need to create integrated FPGA interchange CI - https://git.io/JtFbA20:38
*** ric96 has quit IRC20:38
*** asy_ has quit IRC20:38
*** BonusPlay has quit IRC20:38
*** BonusPlay8 is now known as BonusPlay20:38
*** Degi has joined #symbiflow20:39
*** craigo has joined #symbiflow20:39
*** ric96 has joined #symbiflow20:40
*** xobs has quit IRC20:40
*** unrznbl[m] has quit IRC20:40
*** Evidlo has quit IRC20:40
*** join_subline has quit IRC20:40
*** microcolonel has quit IRC20:40
*** nickoe_ is now known as nickoe20:41
*** microcolonel has joined #symbiflow20:41
*** join_subline has joined #symbiflow20:41
*** lopsided98 has quit IRC20:42
*** promach3 has quit IRC20:43
*** kraiskil has quit IRC20:44
-_whitenotifier-5- [nextpnr] litghost opened issue #232: XDC parser enchancements - https://git.io/JtFNC20:45
*** lopsided98 has joined #symbiflow20:48
-_whitenotifier-5- [vtr-verilog-to-routing] litghost opened issue #566: Need FPGA device database backend from VPR - https://git.io/JtFNg20:49
*** kraiskil has joined #symbiflow20:50
-_whitenotifier-5- [python-fpga-interchange] litghost opened issue #29: Add XML support to plaintext FPGA interchange - https://git.io/JtFNo20:51
-_whitenotifier-5- [python-fpga-interchange] litghost opened issue #30: Improvement YAML anchor names - https://git.io/JtFNQ20:54
-_whitenotifier-5- [fpga-tool-perf] litghost opened issue #316: Add FPGA interchange nextpnr implementation - https://git.io/JtFAy21:06
*** unrznbl[m] has joined #symbiflow21:15
-_whitenotifier-5- [fpga-interchange-schema] litghost opened issue #15: Need to define timing model data - https://git.io/JtFxn21:15
*** Evidlo has joined #symbiflow21:15
*** xobs has joined #symbiflow21:15
*** promach3 has joined #symbiflow21:19
*** _whitelogger has quit IRC21:24
-_whitenotifier-5- [fpga-interchange-schema] litghost opened issue #16: Need to add Read the Docs build and initial documentation structure - https://git.io/JtFpq21:27
*** _whitelogger has joined #symbiflow21:27
*** kraiskil has quit IRC21:32
*** FFY00_ has joined #symbiflow21:44
*** FFY00 has quit IRC21:47
*** FFY00 has joined #symbiflow21:48
-_whitenotifier-5- [nextpnr] litghost opened issue #234: Need site routing test framework - https://git.io/JtFhz21:49
*** FFY00_ has quit IRC21:50
*** kgugala has joined #symbiflow21:57
*** kgugala_ has quit IRC22:00
*** TMM has quit IRC23:04
*** TMM has joined #symbiflow23:05
*** smkz has quit IRC23:07
*** smkz has joined #symbiflow23:12
*** xtropro is now known as xtro23:14
*** xtro is now known as Guest8921323:15
*** Guest89213 is now known as xtro23:16
mithrolitghost: Pushed to master23:47

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!