Wednesday, 2021-02-24

*** tpb has joined #symbiflow00:00
*** Lalo|Sleepy has joined #symbiflow00:14
-_whitenotifier-5- [symbiflow-examples] rodrigomelo9 opened issue #126: Question about constraints - https://git.io/Jt5GS01:19
*** citypw has joined #symbiflow01:59
-_whitenotifier-5- [symbiflow-arch-defs] the-centry opened issue #2047: Had anyone tried to make it supporting two kinds of iopads ? - https://git.io/Jt5WQ03:10
daniellimwsmithro: the new theme repo is not within https://github.com/orgs/SymbiFlow/teams/doc-team/repositories03:12
daniellimwsit now only has the old one03:12
*** Degi_ has joined #symbiflow03:28
*** TMM has quit IRC03:29
*** TMM has joined #symbiflow03:29
*** Degi has quit IRC03:30
*** Degi_ is now known as Degi03:30
*** hosana has quit IRC03:31
*** Eduardo has joined #symbiflow03:34
*** Eduardo is now known as Guest9595903:34
*** Lalo|Sleepy has quit IRC03:36
*** Guest95959 has quit IRC03:42
*** rvalles has joined #symbiflow04:00
*** rvalles_ has quit IRC04:02
*** xtro has quit IRC04:27
*** xtro has joined #symbiflow04:28
*** xtro is now known as Guest187704:28
*** Guest1877 is now known as xtro04:30
*** Guest95959 has joined #symbiflow04:31
mithro@daniellimws: should be fixed now04:54
daniellimwscool thanks04:57
*** kraiskil has joined #symbiflow05:57
*** Guest95959 has quit IRC06:06
*** kraiskil has quit IRC06:20
*** smkz has quit IRC06:27
*** smkz has joined #symbiflow06:31
*** cr1901_modern has quit IRC07:59
*** cr1901_modern has joined #symbiflow08:01
*** kraiskil has joined #symbiflow08:17
*** kraiskil has quit IRC08:27
*** kgugala_ has joined #symbiflow09:27
*** kraiskil has joined #symbiflow09:28
*** kgugala has quit IRC09:29
*** kgugala has joined #symbiflow09:29
*** kgugala_ has quit IRC09:33
*** kraiskil has quit IRC09:36
*** kraiskil has joined #symbiflow10:48
*** kraiskil has quit IRC10:54
*** kraiskil has joined #symbiflow11:15
*** kraiskil has quit IRC11:20
*** kraiskil has joined #symbiflow11:33
-_whitenotifier-5- [fpga-interchange-schema] gatecat opened issue #11: Bus indices should (arguably) be signed not unsigned - https://git.io/Jt5Fn11:40
-_whitenotifier-5- [fpga-interchange-schema] gatecat opened issue #12: Property type considerations - https://git.io/Jt5Fu11:46
*** citypw has quit IRC13:47
*** proteusguy has quit IRC13:59
*** proteusguy has joined #symbiflow14:00
*** gromero_ has quit IRC15:23
sf-slack4<arvindsrinivasan> Hello, so I’m trying to follow this documentation to install symbiflow https://symbiflow-examples.readthedocs.io/en/latest/getting-symbiflow.html except that I want to specifically use my own locally built copy of Yosys.  First, I removed the conda yosys package from the enviornment.yml file here (https://github.com/SymbiFlow/symbiflow-examples/blob/master/xc7/environment.yml). Next used the yosys repo15:55
sf-slack4(https://github.com/YosysHQ/yosys) install methods (`make` and `sudo make install`) after finding the same commit as the conda package (Yosys 0.9+3710 (git sha1 2116c585). However, I seem to be getting an abc error (ERROR: Assert `cell->parameters.empty()' failed in passes/techmap/abc9_ops.cc:781.) when I attempt to then build the counter test. Is there some flags I should be setting when I attempt to build yosys and the15:55
sf-slack4corresponding plugins before using them with the rest of the toolchain?15:55
tpbTitle: Getting SymbiFlow SymbiFlow examples documentation (at symbiflow-examples.readthedocs.io)15:55
*** gromero has joined #symbiflow15:57
*** rj_ has quit IRC16:09
*** ByteLawd has quit IRC16:20
*** ByteLawd has joined #symbiflow16:21
*** kraiskil has quit IRC16:25
litghostWe know that there are some  issues with latest yosys on symbiflow (example issue: https://github.com/SymbiFlow/symbiflow-arch-defs/pull/2039 )16:49
litghostYou might have run into one of those issues16:49
sf-slack4<kgugala> @arvindsrinivasan you should actually use yosys from https://github.com/symbiflow/yosys (Symbiflow's fork) as it has features required for symbiflow (some are not merged upstream yet)16:59
sf-slack4<pgielda> @kgugala is right, conda package is indeed built from 2116c585 but from https://github.com/symbiflow/yosys17:01
sf-slack4<pgielda> This commit happens to exist in both repos17:01
*** rj_ has joined #symbiflow17:10
*** rj_ has left #symbiflow17:41
*** rj_ has joined #symbiflow17:45
*** rj_ has quit IRC17:45
*** rj_ has joined #symbiflow17:46
mithrohttp://share.find.coop/doc/index.html <-- This might be interesting, it's tools for generating diffs for tables17:51
tpbTitle: The COOPY Toolbox: The COOPY Toolbox: COOPY Guide (at share.find.coop)17:51
*** rj_ is now known as rj18:10
*** proteusguy has quit IRC18:16
mithro@acomodi: I'm a bit confused by https://github.com/SymbiFlow/symbiflow-examples/issues/12618:39
sf-slack4<arvindsrinivasan> @kgugala @pgielda thank you, for some reason I looked into the issue by trying to follow the conda package19:01
sf-slack4<arvindsrinivasan> which is called https://anaconda.org/litex-hub/yosys19:01
sf-slack4<arvindsrinivasan> And I thus used the yosys default repo and not the symbiflow yosys, since I saw a conda package called symbiflow-yosys19:01
*** kraiskil has joined #symbiflow19:10
sf-slack4<arvindsrinivasan> Actually, is it intentional that this warning for https://github.com/SymbiFlow/yosys/commit/2116c585810cddb73777b46ea9aad0d6d511d82b “This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.” shows up19:18
sf-slack4<kgugala> there might have been a rebase in the mean time19:40
sf-slack4<kgugala> and since this particular commit is a merge commit it may have been removed19:40
sf-slack4<arvindsrinivasan> I’m still encountering the error19:45
sf-slack4<arvindsrinivasan> Despite having switched to using that exact commit from the symbiflow repo19:45
sf-slack4<arvindsrinivasan> ERROR: Assert `cell->parameters.empty()' failed in passes/techmap/abc9_ops.cc:781. Is there something missing from either repo to have this example work?19:46
sf-slack4<kgugala> the examples are built in the CI in examples repo, so everything must be there19:46
sf-slack4<arvindsrinivasan> Is there something specific to how the conda packages are built theN19:48
sf-slack4<arvindsrinivasan> I feel like locally building yosys should still produce something that works19:49
sf-slack4<kgugala> packages are built here https://github.com/hdl/litex-conda-eda19:51
litghostYosys will make changes that change or restrict the input it accepts as valid19:52
litghostThere was a parser change two weeks that resulted in input that was accepted and worked before no longer work19:53
litghostIn general riding yosys:master is a recipe for trouble, and we don't do it19:53
sf-slack4<arvindsrinivasan> I’m using a specific commit that I thought conda is built with19:53
litghostHave you double checked (e.g. look at the yosys header for commit)19:54
litghostI don't believe we do much during the conda build that is special, besides commit pinning19:54
sf-slack4<arvindsrinivasan> Yes, so I looked at https://github.com/SymbiFlow/symbiflow-examples/blob/master/xc7/environment.yml19:54
sf-slack4<arvindsrinivasan> yosys=0.9_5007_g2116c585=20201202_11261819:55
sf-slack4<arvindsrinivasan> From there I found the 2116c585 commit on both the yosysHQ repo and the symbiflow repo and so I used the yosysHQ one first then the symbiflow repo19:55
*** kraiskil_ has joined #symbiflow19:55
litghostAre you condident that you are actually running the yosys compiled from that version?19:56
litghostconfident*19:56
sf-slack4<arvindsrinivasan> Directly downloading from here https://github.com/SymbiFlow/yosys/tree/2116c585810cddb73777b46ea9aad0d6d511d82b and building19:56
litghostThat's not what I asked19:57
sf-slack4<arvindsrinivasan> Yes I’m saying it builds a binary with the following version Yosys 0.9+3710 (git sha1 UNKNOWN, gcc 10.2.0-13ubuntu1 -fPIC -Os19:57
sf-slack4<arvindsrinivasan> Which I confirmed is the binary that is installed systemwide on my path19:57
litghostWhy is git sha1 UNKNOWN? It should reflect the hash of the git repo it was built from, for your own sanity19:58
sf-slack4<arvindsrinivasan> Not sure of that, but the only yosys binary in the folder has this  `./yosys --version`19:59
*** kraiskil has quit IRC19:59
sf-slack4<kgugala> @arvindsrinivasan what do you mean by "Directly downloading from here https://github.com/SymbiFlow/yosys/tree/2116c585810cddb73777b46ea9aad0d6d511d82b and building"20:01
sf-slack4<kgugala> did you clone the repo?20:01
sf-slack4<arvindsrinivasan> If I cloned, I couldn’t find the specific commit in the git log for any branch20:01
litghostYou can just fetch the hash, e.g. "git fetch origin 2116c585"20:02
sf-slack4<arvindsrinivasan> fatal: couldn’t find remote ref 2116c58520:03
litghostUse the full hash20:04
sf-slack4<arvindsrinivasan> Alright20:05
sf-slack4<arvindsrinivasan> I did that and I still build a binary (Yosys 0.9+3710 (git sha1 2116c585, clang 11.0.0-2 -fPIC -Os)) that causes an issue  ERROR: Assert `cell->parameters.empty()' failed in passes/techmap/abc9_ops.cc:781.20:36
sf-slack4<kgugala> which example do you try to build?20:37
sf-slack4<arvindsrinivasan> counter_test20:38
sf-slack4<arvindsrinivasan> Specifically for the basys320:39
sf-slack4<arvindsrinivasan> I apologize if this is stupid, but like, given the conda packages are just built versions of the repo with nothing special, I feel like this should be a supported workflow since the versions of yosys and ABC is the exact same21:07
*** ByteLawd has quit IRC21:36
*** ByteLawd has joined #symbiflow21:36
*** kraiskil_ has quit IRC22:19
*** rj has quit IRC22:27
*** TMM has quit IRC22:30
*** TMM has joined #symbiflow22:30
*** umarcor has quit IRC22:46
*** rj has joined #symbiflow22:55
LoftyKinda wish I'd got pinged for the ABC9 assert ^^;23:19
Loftyarvindsrinivasen: are you still around?23:19
Loftyarvindsrinivasan even23:19
sf-slack4<arvindsrinivasan> Hi23:19
sf-slack4<arvindsrinivasan> Whats up Lofty23:20
LoftyI'm...de facto ABC9 maintainer at the moment :P23:20
LoftySo asserts in ABC9 are my domain23:21
LoftyI'll open up the code in a bit, but here's my assumption: a cell with parameters is making its way to ABC9, but ABC9 expects monomorphised cells and can't really deal with it here23:22
sf-slack4<arvindsrinivasan> Cool, that sounds good. I guess the issue I’m having is trying to build yosys locally (not even master) but the specific commit conda package uses for the symbiflow-examples, but the issue I”m having is that the example (which works with the conda package and its install of ABC9) doesn’t work with my own built copy23:22
Loftylitghost: did you file a bug for that parser issue?23:23
litghostThe parser issue was a long standing whole in the parser that was fixed23:24
litghostYosys accepted or interped something that was not allowed, but accepted it23:24
litghostThis is the localparam scoping fix23:24
LoftyAnd 'your own built copy' gives the ABC9 assert?23:24
litghostUnrelated23:24
litghostI'm not sure what arvind's running into, I've not seen it locally23:25
LoftyI recently-ish made a change which exposes bugs in ABC923:25
sf-slack4<arvindsrinivasan> Yes23:25
LoftyBut *this particular* bug is new to me23:26
sf-slack4<arvindsrinivasan> So while I agree this potentially could be a my system issue, I can explain the exact process I followed if anyone wants to try to replicate it on their system23:26
LoftyPlease23:26
sf-slack4<arvindsrinivasan> So I started with this https://symbiflow-examples.readthedocs.io/en/latest/getting-symbiflow.html instructions, and using the fresh install cloned the repo and installed conda23:27
tpbTitle: Getting SymbiFlow SymbiFlow examples documentation (at symbiflow-examples.readthedocs.io)23:27
LoftyYou mentioned a counter_test benchmark, but I didn't go far enough in the backlog to find it; could somebody point me to it?23:27
sf-slack4<arvindsrinivasan> I edited this particular environment.yml file https://github.com/SymbiFlow/symbiflow-examples/blob/master/xc7/environment.yml once I cloned but before I created teh conda env to remove the litex-hub::yosys=0.9_5007_g2116c585=20201202_112618 line23:27
sf-slack4<arvindsrinivasan> I then cloned and installed https://github.com/SymbiFlow/yosys, particularly, commit 2116c585810cddb73777b46ea9aad0d6d511d82b by checking it out and then running `make clean` then `make config-gcc` then `make` and finally `sudo make install`23:29
sf-slack4<arvindsrinivasan> Finally I cloned and installed https://github.com/SymbiFlow/yosys-symbiflow-plugins, particularly, commit 40efa517423c54119440733f34dbd4e0eb14f983 by checking it out and then running `make clean` `make plugins` and finally `sudo make install`23:31
sf-slack4<arvindsrinivasan> At this point, I tried to follow these steps to build the counter_test example https://symbiflow-examples.readthedocs.io/en/latest/building-examples.html and instead I got the error: ``````23:32
tpbTitle: Building example designs SymbiFlow examples documentation (at symbiflow-examples.readthedocs.io)23:32
Lofty<arvindsrinivasan> At this point, I tried to follow these steps to build the counter_test example https://symbiflow-examples.readthedocs.io/en/latest/building-examples.html and instead I got the error: ``````23:37
tpbTitle: Building example designs SymbiFlow examples documentation (at symbiflow-examples.readthedocs.io)23:37
LoftyI don't think that made it across the bridge very well23:37
Lofty(I was waiting for the actual text, but it seems it got eaten by the bridge)23:38
sf-slack4<arvindsrinivasan> Oh okay23:39
sf-slack4<arvindsrinivasan> ```make: Entering directory '/home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test' cd build/basys3 && symbiflow_synth -t top -v /home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test/counter.v -d artix7 -p xc7a35tcpg236-1 -x /home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test/basys3.xdc 2>&1 > /dev/null ERROR: Assert `cell->parameters.empty()'23:39
sf-slack4failed in passes/techmap/abc9_ops.cc:781. make: *** [Makefile:45: build/basys3/top.eblif] Error 1 make: Leaving directory '/home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test'```23:39
sf-slack4<arvindsrinivasan> Is that clear?23:39
LoftyThat made it across, though...you mentioned it was ABC9 and there's no mention of it in the log?23:39
sf-slack4<arvindsrinivasan> Sorry if I was mistaken, but is >  ERROR: Assert `cell->parameters.empty()' failed in passes/techmap/abc9_ops.cc:781. Not related to ABC9?23:40
LoftyOh, I misread it because it wrapped across messages23:41
LoftyMy apologies.23:41
*** peddie has left #symbiflow23:47
LoftyUgh, I'm struggling to find the source of symbiflow_synth23:52
sf-slack4<arvindsrinivasan> I believe that is the tar files that are unzipped in the second stage of installation23:53
LoftyIt's in ibex-yosys-build, it seems23:54
LoftyYosys 0.9+3833 (git sha1 19ffd3d8c, ccache clang 11.0.1 -fPIC -Os)23:57
LoftyBuilds okay for me23:57
Lofty...Would you mind trying with latest Yosys master23:57
LoftySuffice it to say that I disagree that 'riding yosys:master is a recipe for trouble'.23:59
sf-slack4<arvindsrinivasan> Can you clarify if you mean yosysHQ23:59
sf-slack4<arvindsrinivasan> or symbiflow-yosys23:59
LoftyYes23:59
LoftyYosysHQ23:59
sf-slack4<arvindsrinivasan> Okay, starting clone and build now23:59

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!