Friday, 2021-02-26

*** tpb has joined #symbiflow00:00
sf-slack4<arvindsrinivasan> So how does the conda package version avoid this issue Lofy01:17
sf-slack4<arvindsrinivasan> Cause I’m curious now if I can diff the ilang files for using the specific versions that the examples use by default and see the source of teh error01:18
*** _whitelogger has quit IRC01:39
*** _whitelogger has joined #symbiflow01:41
*** kgugala has quit IRC01:48
*** citypw has joined #symbiflow02:14
*** kgugala has joined #symbiflow03:22
*** Degi_ has joined #symbiflow03:24
*** Degi has quit IRC03:27
*** Degi_ is now known as Degi03:27
*** _whitelogger has quit IRC04:27
*** _whitelogger has joined #symbiflow04:29
*** ByteLawd has quit IRC05:13
*** ByteLawd has joined #symbiflow05:13
*** craigo has quit IRC05:22
-_whitenotifier-5- [symbiflow-arch-defs] the-centry opened issue #2056: Packing problem - https://git.io/JtblZ05:44
*** proteusguy has joined #symbiflow06:13
*** TMM has quit IRC06:33
*** TMM has joined #symbiflow06:33
*** citypw has quit IRC06:48
*** ZipCPU_ has joined #symbiflow08:07
*** ZipCPU has quit IRC08:09
*** futarisIRCcloud has quit IRC10:27
*** ZipCPU_ is now known as ZipCPU11:16
*** epony has quit IRC11:57
*** futarisIRCcloud has joined #symbiflow12:21
*** epony has joined #symbiflow12:23
*** craigo has joined #symbiflow12:42
*** futarisIRCcloud has quit IRC14:41
*** futarisIRCcloud has joined #symbiflow15:57
*** kraiskil has joined #symbiflow16:42
*** mkru has joined #symbiflow16:56
*** kraiskil has quit IRC17:05
sf-slack4<arvindsrinivasan> >  ERROR: Module `FDRE' is used with parameters but is not parametric! @lofty, where did you actually see this error btw?17:28
sf-slack4<arvindsrinivasan> Its not in the ilang file is it?17:28
*** kgugala has quit IRC17:41
*** kgugala has joined #symbiflow17:41
*** mkru has quit IRC17:52
*** kraiskil has joined #symbiflow18:19
lambdaI missed that discussion yesterday, but it seems to be about https://github.com/SymbiFlow/symbiflow-examples/issues/120, which I reported a while ago18:22
litghostYa, it seems to be the same issue18:23
-_whitenotifier-5- [symbiflow-arch-defs] andrewb1999 opened issue #2058: Trade-off pnr time and performance - https://git.io/JtNIg18:36
*** maartenBE has quit IRC18:41
*** maartenBE has joined #symbiflow18:47
sf-slack4<arvindsrinivasan> Yea its the same issue18:49
sf-slack4<timo.callahan> Symbiflow-LiteX questions: I got a SymbiFlow build working for a LiteX-based project I'm working on, using simply --toolchain=symbiflow.   Arty A735T board.   I first tried at 80MHz since I saw that on a Zephyr webpage; the bitstream kind of worked (I got the lightchaser and LiteX prompt) but there were memory errors reported (address and data), and the firmware hung at `Liftoff!`.   I then tried building at18:50
sf-slack4100MHz, and it all worked perfectly!    I remember a long time ago (months!) building LiteX at 50MHz, which was too slow for the memory, but then I thought it worked at 60MHz.    So are the errors I'm seeing at 80MHz unexpected?18:50
*** kraiskil has quit IRC18:50
*** andrewb1999 has joined #symbiflow18:51
sf-slack4<timo.callahan> This is the webpage that recommended an 80MHz clk: https://docs.zephyrproject.org/latest/boards/riscv/litex_vexriscv/doc/index.html  : `cd litex/litex/boards/targets && ./arty.py --toolchain symbiflow --cpu-type vexriscv --sys-clk-freq 80e6 --build`, which again, didn't work for me due to memory errors reported from LiteX BIOS.18:53
tpbTitle: LiteX VexRiscv Zephyr Project Documentation (at docs.zephyrproject.org)18:53
litghostWhat's the timing report say at 80 MHz and 100 MHz?18:53
litghostIf the timing report shows slack violations at both speeds, the fact that it appears to work at 100 MHz might just be luck18:54
litghostIt is also possible that the solution at 100 MHz has less of a slack violation than the design at 80 MHz, which means that it has a high change of working18:54
litghostAnother thing to try is multiple seeds into VPR18:55
litghostIt is possible that 9/10 designs close timing at 80 MHz, but you got unlucky, and 5/10 designs  close timing at 100 MHz, and you happened to get luck reversed18:56
sf-slack4<timo.callahan> Hmm yeah, there are some pretty large negative slacks reported at 100MHz.18:56
litghostThen I expect that it isn't really working at 100 MHz, you are just getting luck18:56
sf-slack4<timo.callahan> Yep18:56
litghostOne thing to double check is run the design through the Vivado timing analysis as a sanity check and see if the VPR slack analysis was wrong18:57
litghostWe've had some bugs in the timing model that resulted in invalid timing analysis in both directions18:57
litghostBut it is believed that the remaining errors are small18:57
sf-slack4<timo.callahan> I see some paths involving the PLL that have data required time of 0.479, not the usual 10.0+delta.    xilinxasyncresetsyncronizer?   Is that false path?18:59
litghostPossible? I don't have enough information from that statement, but it is possible19:01
andrewb1999timo.callahan: I remember someone mentioning there were issues with the liteDRAM core itself. In the past I have tried the liteDRAM core on Vivado and it only worked properly at 100 MHz.19:01
litghostandrewb1999: We've tested it successfully at 60 and 80 MHz19:02
litghostI believe19:02
*** kraiskil has joined #symbiflow19:03
andrewb1999litghost: Ah ok maybe it is fixed since when I was testing it.19:08
tcallitghost: andrewb1999: thanks for the info!   If I figure out any more I'll post it.19:09
*** TMM has quit IRC19:36
*** TMM has joined #symbiflow19:36
sf-slack4<pgielda> @lambda you are using https://github.com/YosysHQ/yosys repo and conda packages were built from https://github.com/symbiflow/yosys repo...20:07
sf-slack4<pgielda> those repos are not interchangable20:08
lambdapgielda: correct, I believe I also tested it with the vendored version though20:08
sf-slack4<pgielda> what is vendored version?20:08
lambdathe symbiflow fork20:09
sf-slack4<pgielda> this is what interests me -- it would be an issue20:09
*** andrewb1999 has quit IRC20:09
sf-slack4<pgielda> if it failed against symbiflow/yosys20:09
sf-slack4<pgielda> its kinda expected to fail with YosysHQ/yosys20:09
lambdaalright, I hope I can go back to nextpnr soon to avoid all this, the fpga-interchange frontend seems to be making huge progress :)20:12
sf-slack4<arvindsrinivasan> @pgielda I can reproduce that issue with the symbiflow fork as well, it only works with the conda packaged versions20:37
*** kraiskil has quit IRC22:06
*** kraiskil has joined #symbiflow22:19
sf-slack4<pgielda> @arvindsrinivasan, I've talked to @kgugala and he wrote to me that he plans to try to reproduce this issue and see whats going on. If you have some additional info -- just paste it to this issue that @lambda opened:  https://github.com/SymbiFlow/symbiflow-examples/issues/12022:58
sf-slack4<pgielda> And lets track progress there.22:58
*** gromero has quit IRC23:18
*** gromero has joined #symbiflow23:19
*** gromero_ has joined #symbiflow23:20
*** gromero has quit IRC23:20
*** gromero_ has quit IRC23:26
*** gromero__ has joined #symbiflow23:26
*** gromero__ has quit IRC23:38
*** gromero has joined #symbiflow23:39
*** gromero_ has joined #symbiflow23:49
*** gromero has quit IRC23:50
*** kraiskil has quit IRC23:51
*** gromero__ has joined #symbiflow23:52
*** gromero__ has quit IRC23:53
*** gromero_ has quit IRC23:54
*** gromero__ has joined #symbiflow23:54
*** gromero has joined #symbiflow23:57

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!