Thursday, 2020-06-25

*** tpb has joined #symbiflow00:00
*** gsmecher has quit IRC00:29
*** srt has joined #symbiflow01:51
*** srt has quit IRC01:54
*** srt has joined #symbiflow01:54
*** srt has quit IRC01:57
*** Degi has quit IRC02:02
*** Degi has joined #symbiflow02:05
-_whitenotifier-f- [sphinx_materialdesign_theme] mithro opened issue #13: Make theme advertise as parallel compatible - https://git.io/Jfh4z02:05
*** andrewb1999 has quit IRC02:33
daniellimwsmithro: Where does sphinx say anything about this? ^02:55
mithro@daniellimws About?03:07
daniellimwsmithro: About the theme not being compatible03:07
mithro@daniellimws I'm using the theme on https://github.com/google/skywater-pdk and due to the large number of pages I enable parallel builds by using "-j"03:08
tpbTitle: GitHub - google/skywater-pdk: Open source process design kit for usage with SkyWater Technology Foundrys 130nm node. (at github.com)03:08
mithro@daniellimws https://github.com/google/skywater-pdk/blob/master/docs/Makefile#L10-L1503:09
tpbTitle: skywater-pdk/Makefile at master · google/skywater-pdk · GitHub (at github.com)03:09
daniellimwsmithro: Oh does the theme just need to declare that it is parallel compatible?03:10
mithrounclear03:20
mithroI just saw the error fly past and thought I would log the issue before I forgot03:20
daniellimwsmithro: Ok I opened https://github.com/SymbiFlow/sphinx_materialdesign_theme/pull/14 referring to https://github.com/readthedocs/sphinx_rtd_theme/pull/87403:21
tpbTitle: Declare that theme is parallel read and write safe by daniellimws · Pull Request #14 · SymbiFlow/sphinx_materialdesign_theme · GitHub (at github.com)03:21
-_whitenotifier-f- [symbiflow-examples] mithro opened issue #26: Add an example for a Zynq part - https://git.io/JfhR503:27
-_whitenotifier-f- [symbiflow-examples] mithro opened issue #27: Add support for the 100T variant of the Arty A7 - https://git.io/JfhRF03:28
*** srt has joined #symbiflow03:30
mithro@daniellimws Have to get you some harder bugs, you keep fixing my issues so quickly!03:35
*** srt has quit IRC03:39
*** srt has joined #symbiflow03:40
daniellimwsmithro: :P03:40
*** srt has quit IRC03:44
-_whitenotifier-f- [sphinxcontrib-verilog-diagrams] mithro opened issue #42: Change the authors bit in setup.py - https://git.io/Jfh0R03:53
mithro@daniellimws Your catching up to me! https://github.com/SymbiFlow/sphinxcontrib-verilog-diagrams/graphs/contributors03:53
tpbTitle: Contributors to SymbiFlow/sphinxcontrib-verilog-diagrams · GitHub (at github.com)03:53
daniellimwsgetting close03:53
mithro@daniellimws Heading out for the night -- have a good one!03:59
daniellimwsyou too03:59
-_whitenotifier-f- [sphinxcontrib-verilog-diagrams] mithro opened issue #43: Readme Rst is broken - https://git.io/Jfh0Q04:04
*** epony has quit IRC04:26
*** epony has joined #symbiflow04:27
*** srt has joined #symbiflow06:13
*** srt has quit IRC06:44
*** citypw has joined #symbiflow06:53
*** OmniMancer has joined #symbiflow06:56
*** filt3r has quit IRC07:10
*** filt3r has joined #symbiflow07:15
*** FFY00 has quit IRC07:17
*** citypw has quit IRC07:23
*** citypw has joined #symbiflow07:36
*** FFY00 has joined #symbiflow08:13
*** mkru has joined #symbiflow08:29
*** proteus-guy has quit IRC08:57
*** srt has joined #symbiflow09:09
*** adjtm_ has joined #symbiflow09:09
*** adjtm has quit IRC09:11
*** kraiskil has joined #symbiflow09:22
*** kraiskil has quit IRC09:38
*** srt has quit IRC09:43
*** kraiskil has joined #symbiflow09:44
*** srt has joined #symbiflow10:47
*** srt has quit IRC11:19
*** kraiskil has quit IRC12:59
*** mkru has quit IRC13:39
*** space_zealot has joined #symbiflow14:20
mithrohttps://github.com/BrunoLevy/learn-fpga -- RV32 on an icestick!14:45
tpbTitle: GitHub - BrunoLevy/learn-fpga: Learning FPGA, yosys, nextpnr, and RISC-V (at github.com)14:45
*** srt has joined #symbiflow14:45
-_whitenotifier-f- [symbiflow-website] mithro opened issue #55: Getting started page on the website needs work - https://git.io/Jfhb115:17
*** srt has quit IRC15:47
*** srt has joined #symbiflow15:47
*** proteus-guy has joined #symbiflow15:51
*** gsmecher has joined #symbiflow16:00
-_whitenotifier-f- [prjxray-bram-patch] mithro opened issue #39: Figure out why GitHub thinks you have a lot of "LLVM" code - https://git.io/JfhA916:02
*** maartenBE has quit IRC16:07
*** maartenBE has joined #symbiflow16:10
*** citypw has quit IRC16:23
*** kraiskil has joined #symbiflow17:44
sf-slack3<timo.callahan> @kgugala  -- Digilent now has two accelerometers -- do you know if they both work with the tflite demo?17:46
sf-slack3<timo.callahan> https://store.digilentinc.com/pmod-acl-3-axis-accelerometer/17:46
tpbTitle: Pmod ACL: 3-axis Accelerometer - Digilent (at store.digilentinc.com)17:46
sf-slack3<timo.callahan> https://store.digilentinc.com/pmod-acl2-3-axis-mems-accelerometer/17:46
tpbTitle: Pmod ACL2: 3-axis MEMS Accelerometer - Digilent (at store.digilentinc.com)17:46
sf-slack3<timo.callahan> They have slightly different chips17:47
sf-slack3<kgugala> The demo uses acl217:47
*** OmniMancer has quit IRC17:48
sf-slack3<kgugala> Sorry ACL17:51
sf-slack3<kgugala> We use i2c to read the sensor17:52
sf-slack3<kgugala> ACL2 does not support i2c17:53
sf-slack3<timo.callahan> Thanks!17:53
sf-slack3<timo.callahan> We are buying some more for the intern and other mentor17:54
-_whitenotifier-f- [fpga-tool-perf] mithro opened issue #174: Capture the logic depth / max frequency after synthesis - https://git.io/JfjeP17:59
*** lopsided98 has quit IRC18:21
*** lopsided98 has joined #symbiflow18:22
*** kmehall_ has quit IRC18:29
*** kmehall has joined #symbiflow18:29
*** enriq has joined #symbiflow18:34
enriqHi. Where can i find the list of supported devices?18:34
sf-slack3<rwinkler> enriq: https://symbiflow.github.io/index.html#boards18:36
tpbTitle: SymbiFlow - the GCC of FPGAs (at symbiflow.github.io)18:36
enriqah ok, and I can just look what chip a given board uses and use that in my circuit18:39
enriqI mean you don't rely on anything else from those boards18:39
sf-slack3<kgugala> enriq: yes, that is true we do not rely on anything outside the chip18:41
sf-slack3<kgugala> which one you'd like to use?18:41
enriqI think I don't need much, I was thinking in ICE40LP384-SG3218:42
enriqbut as I'm a noob I still would need to try to generate for a given device to see if it fits18:43
tntAll ice40s are supported by the yosys/nextpnr flow18:50
tntOh wait ... maybe not LM ?18:51
tntyeah, no LM and no UltraLite18:51
enriqI need not much, but also has to be not impossible to solder18:52
enriqi.e. <15 pins IO18:53
enriqand some simple logic function to implement (conters, triggers... the most complex would be some serial interface)18:53
*** futarisIRCcloud has quit IRC18:54
*** andrewb1999 has joined #symbiflow18:55
enriqUltraPlus yes UltraLite no, right?18:55
tntyup18:55
*** andrewb1999 has quit IRC19:08
*** andrewb1999 has joined #symbiflow19:09
*** az0re has quit IRC19:17
mithrohttps://twitter.com/Obijuan_cube/status/127622928314555187619:17
*** andrewb1999 has quit IRC19:25
*** andrewb1999 has joined #symbiflow19:27
enriqah oh does it build on mac?19:50
enriq(the toolchain)19:51
*** andrewb1999 has quit IRC20:03
*** andrewb1999 has joined #symbiflow20:04
*** kraiskil has quit IRC20:06
Loftyenriq: should do20:06
enriqat some point of make conta_all it tries to execute conda.exe20:07
enriqwhat's the difference between this project and openfpga20:08
LoftyWell, openfpga isn't really a project20:09
sorearby openfpga do you mean nextpnr?20:13
enriqLofty that it should build on osx means that it's tested and works or that there is no reason why it should not build in theory20:13
LoftyThe latter, at least for the vanilla toolchain20:14
LoftyI think they do test it on Mac, but there's no CI for it20:15
enriqwhat is the vanilla toolchain? I'm following the getting started20:16
Loftyhttps://github.com/YosysHQ/Yosys20:16
tpbTitle: GitHub - YosysHQ/yosys: Yosys Open SYnthesis Suite (at github.com)20:16
Loftyhttps://github.com/YosysHQ/nextpnr20:16
tpbTitle: GitHub - YosysHQ/nextpnr: nextpnr portable FPGA place and route tool (at github.com)20:16
enriqcool thanks, I'll try20:17
enriqah ok I have those with brew20:22
*** kraiskil has joined #symbiflow20:38
*** kraiskil has quit IRC21:05
-_whitenotifier-f- [symbiflow-website] mithro opened issue #57: Need a "getting help" section of the website - https://git.io/JfjtY21:32
*** enriq has quit IRC21:48
-_whitenotifier-f- [symbiflow-arch-defs] andrewb1999 opened issue #1550: Support multiple synth IO tiles at the same vpr coordinate - https://git.io/Jfjql21:54
*** az0re has joined #symbiflow23:27
*** andrewb1999 has quit IRC23:44

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!