Friday, 2020-06-26

*** tpb has joined #symbiflow00:00
*** maartenBE has quit IRC00:11
*** futarisIRCcloud has joined #symbiflow00:17
*** gsmecher has quit IRC00:23
*** space_zealot has quit IRC00:27
*** andrewb1999 has joined #symbiflow00:35
*** maartenBE has joined #symbiflow00:46
*** space_zealot has joined #symbiflow00:57
-_whitenotifier-f- [fpga-tool-perf] HackerFoo opened issue #175: Upstream Yosys bug hit by bex_vivado-yosys_arty - https://git.io/JfjZl01:13
*** andrewb1999 has quit IRC01:53
*** Degi has quit IRC01:59
*** Degi has joined #symbiflow02:00
*** citypw has joined #symbiflow02:26
*** space_zealot_000 has joined #symbiflow02:36
*** space_zealot has quit IRC02:40
*** FFY00 has quit IRC02:54
*** FFY00 has joined #symbiflow02:54
*** space_zealot_000 has quit IRC03:32
*** az0re has quit IRC03:49
*** az0re has joined #symbiflow04:06
*** lopsided98 has quit IRC05:48
*** lopsided98 has joined #symbiflow05:51
*** OmniMancer has joined #symbiflow06:08
*** enriq has joined #symbiflow06:14
*** enriq has quit IRC06:15
*** enriq has joined #symbiflow06:17
*** enriq has quit IRC07:02
*** enriq has joined #symbiflow07:51
*** enriq has quit IRC08:44
*** enriq has joined #symbiflow08:51
*** enriq has quit IRC09:11
*** mkru has joined #symbiflow09:13
*** az0re has quit IRC09:16
*** enriq has joined #symbiflow09:19
*** citypw has quit IRC09:30
*** lopsided98 has quit IRC09:34
*** lopsided98 has joined #symbiflow09:38
*** enriq has quit IRC09:47
*** enriq has joined #symbiflow10:06
*** enriq has quit IRC11:51
*** enriq has joined #symbiflow12:21
-_whitenotifier-f- [symbiflow-arch-defs] kkumar23 opened issue #1553: Branch Quicklogic : The latest global clock support has issues - https://git.io/JfjPH12:26
-_whitenotifier-f- [symbiflow-arch-defs] kkumar23 opened issue #1554: Branch Quicklogic : The no of PB-5XGMUX available shows only 1. - https://git.io/JfjPF12:29
*** enriq has quit IRC12:33
*** OmniMancer has quit IRC12:36
*** enriq has joined #symbiflow12:42
*** enriq has quit IRC12:53
*** space_zealot has joined #symbiflow12:56
*** enriq has joined #symbiflow13:39
*** enriq has quit IRC13:41
*** mkru has quit IRC13:44
*** enriq has joined #symbiflow13:45
*** OmniMancer has joined #symbiflow13:45
*** enriq has quit IRC14:26
*** enriq has joined #symbiflow14:30
*** enriq has quit IRC14:41
*** enriq has joined #symbiflow15:00
*** enriq has quit IRC15:19
*** enriq has joined #symbiflow15:19
*** andrewb1999 has joined #symbiflow15:32
*** gsmecher has joined #symbiflow15:36
*** andrewb1999 has quit IRC15:44
*** andrewb1999 has joined #symbiflow15:45
*** enriq has quit IRC15:48
-_whitenotifier-f- [XilinxUnisimLibrary] mithro opened issue #1: Make the files consistently formatted - https://git.io/Jfj5d16:03
*** enriq has joined #symbiflow16:03
-_whitenotifier-f- [XilinxUnisimLibrary] mithro opened issue #2: Convert the UniSim models to be compatible with Icarus Verilog - https://git.io/Jfj5b16:04
-_whitenotifier-f- [XilinxUnisimLibrary] mithro opened issue #3: Document IP which requires secure IP that isn't included - https://git.io/Jfj5A16:05
tntkgugala: Mm, I just tried instanciating a logic_cell_macro and ... it built, but it didn't implement what I asked.16:14
tntit split it into its components (b_frag/t_frag/q_frag/f_frag/...) and placed those independently which kind of defeats the point.16:15
*** enriq has quit IRC16:27
*** andrewb1999 has quit IRC16:36
*** enriq has joined #symbiflow17:11
*** andrewb1999 has joined #symbiflow17:16
*** rvalles_ has quit IRC17:26
*** rvalles_ has joined #symbiflow17:27
tntcell hold time     494513024.000 494513024.00017:31
*** kraiskil has joined #symbiflow17:46
*** andrewb1999 has quit IRC17:49
*** andrewb1999 has joined #symbiflow18:22
*** space_zealot_000 has joined #symbiflow18:34
*** space_zealot has quit IRC18:38
*** andrewb1999 has quit IRC18:40
*** kraiskil has quit IRC20:06
*** az0re has joined #symbiflow20:26
tntAny wait to run timing analysis "a posteriori" (ie. once built alredy) and giving a regexp for the endpoint ?20:37
litghosttnt: Assuming you are using a VPR toolchain, the answer to the first question is "yes", the --analysis flag20:39
litghosttnt: It will by default output the worst setup/hold slacks in the the details reports20:40
litghosttnt: You can control how many it outputs, I don't believe it has a filter, but the report generation is usually very fast20:40
tntlitghost: ok, thanks21:09
tntI'm a bit surprised by the results though ... I ask for 2000 path and I get only 554 path. And there is definitely more unique combinations of StartPoint / EndPoint than that.21:22
tntIs there some deduplication ? Like it only shows the slowest past for a given Endpoint or something ?21:23
*** andrewb1999 has joined #symbiflow21:28
litghosttnt: No if you ask for more paths than exist, it should provide all of them21:42
litghosttnt: In this case, I believe you might be missing a timing constraint, and/or a timing model in the arch21:43
litghosttnt: E.g. If you know of a timing arc that is missing, you should be able to see if the launch or capture constraint is captured21:43
*** andrewb1999 has quit IRC21:44
tntIt definitely must be constrained because it's all within one clock domain and other path are present. But like I have an adder (a + 1) whose output drives a ram block address input. Given all the LSBs a will influence the MSB (if there is carry), there should be paths from all bits of 'a' to the msb of the address.21:47
*** srt_ has joined #symbiflow21:47
tntAnd there just isn't ... there is only some of them.21:47
litghosttnt: If there is missing combinational annotations on the carry block, it could result in missing paths21:48
litghosttnt: You can ask VPR to output the timing graph, which is usually way overkill, but you could trace the path you believe should exist, and determine where the path is "broken"21:49
litghosttnt: For example, if there is a missing T_setup on a capture pin, then that arc wouldn't appear in the report21:50
litghosttnt: Or as I suggested above, if there is a missing combinational delay through a box, then the arc wouldn't appear21:50
*** enriq has quit IRC21:50
*** andrewb1999 has joined #symbiflow21:51
tntBut there is like bit 0 of the source reg to bit [7] of the ram address ... but not bit 1 for instance ... both come from the same type of registers, I don't see why one would work and not the other.21:51
*** srt has quit IRC21:52
litghosttnt: Is there a T_setup constraint on both bit[7] and bit[1] in the relevant pb_type?21:52
litghosttnt: Or is bit[1] a constant path, in which case there is no launch to be captured?21:53
tntNope21:54
*** srt_ has quit IRC21:55
tntI outputed the timing .dot ... but it's unreadable, way too big to load in anything.21:55
litghosttnt: I wouldn't recommend visualizing it.  But if you know of a timing arc that should exist, you might be able to trace the graph edges21:56
tntAlso it doesn't contain net names ...21:56
litghosttnt: I don't typically  look at the timing graph, it is quiet a mess.21:56
litghosttnt: Something you might consider is a smaller input netlist that exhibits the problem?21:57
litghosttnt: So you can simply have fewer edges to trace?21:57
*** srt has joined #symbiflow22:03
*** srt has quit IRC22:07
-_whitenotifier-f- [conda-packages] litghost opened issue #114: uhdm-integration takes more than 1+ hr to complete? - https://git.io/JJekG22:22
FFY00is there anything else that would make sense to add here? https://github.com/FFY00/symbiflow-arch-pkgs/issues/122:23
tpbTitle: Add packages · Issue #1 · FFY00/symbiflow-arch-pkgs · GitHub (at github.com)22:23
*** srt has joined #symbiflow22:56
-_whitenotifier-f- [yosys-symbiflow-plugins] FFY00 opened issue #20: Build system tries to create a file on the system during the build step - https://git.io/JJeIQ23:12
-_whitenotifier-f- [yosys-symbiflow-plugins] FFY00 opened issue #21: Build system does not respect `DESTDIR` - https://git.io/JJeIx23:14
*** andrewb1999 has quit IRC23:15
*** OmniMancer has quit IRC23:24
-_whitenotifier-f- [prjxray] FFY00 opened issue #1376: Allow building with unvendored dependencies - https://git.io/JJeLn23:33

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!