Wednesday, 2020-06-24

*** tpb has joined #symbiflow00:00
*** srt has joined #symbiflow00:35
*** srt has quit IRC00:39
*** andrewb1999 has joined #symbiflow00:47
*** yeti has quit IRC01:04
*** yeti has joined #symbiflow01:15
mithroNew symbiflow target :-P --  https://twitter.com/enjoy_digital/status/127548806601100492801:27
*** srt has joined #symbiflow01:45
*** srt has quit IRC01:49
*** Degi has quit IRC02:05
*** Degi_ has joined #symbiflow02:05
*** Degi_ is now known as Degi02:06
andrewb1999litghost/mithro: When creating my own synth IOs everything runs through generating the patched.bin, but then when generating the real.bin VPR prints out millions of warnings about no routing path and then crashes on a negative criticality error.02:15
andrewb1999Any advice on why creating synth IOs at places other than VBRKs would cause this issue?  I belive that's the only thing I am changing02:17
andrewb1999If not, i'll get more info and post an issue02:17
litghostMore info is needed02:17
litghostI suspect your graph limit and used wires are the likely problems02:17
litghostNegative criticality indicates a timing issue, which should be investigated02:18
andrewb1999Ok I'll get more info and post an issue. In a more general question, what limitation is there on what wires can be used for synth IOs relative to the graph limit?02:19
andrewb1999Is it just that they have to touch to boundary?02:19
-_whitenotifier-f- [symbiflow-arch-defs] tcal-x opened issue #1546: fasm2bels: max recursion depth exceeded, make_routes.py, xc7a100t, soc/litex/base - https://git.io/JfplC02:21
daniellimwsmithro: What do you mean by status?02:25
*** rvalles_ has joined #symbiflow02:27
*** rvalles has quit IRC02:27
mithrodaniellimws: The packages are in a state of flux around naming02:46
daniellimwsmithro: which ones?02:47
mithrohttp://j.mp/edda-status & bit.ly/edda-conda-eda-spec --  I think02:51
tpbTitle: EDDA Package Status (EDA Tools Conda Packages) - j.mp/edda-status - Google Sheets (at j.mp)02:51
daniellimwsmithro: You mean unstandardized namings?02:56
*** srt has joined #symbiflow03:01
mithrodaniellimws: I think yosys package is becoming the upstream git yosys and symbiflow-yosys package becoming https://github.com/SymiFlow/yosys03:04
*** srt has quit IRC03:05
mithroand eventually the patches to SymbiFlow/yosys should be going away....03:07
*** andrewb1999 has quit IRC03:08
mithro@daniellimws: But I don't know the current status of the migration -- kgugla might know03:09
daniellimwsoh ok03:11
mithro@daniellimws -- I think we should also investigate using whitequark's Yosys in WASM package too03:11
-_whitenotifier-f- [sphinxcontrib-verilog-diagrams] mithro opened issue #40: Investigate if we can use the Yosys uploaded to PyPi - https://git.io/Jfp8N03:14
*** kraiskil has joined #symbiflow03:18
daniellimwsCan't seem to find any examples in the repo03:20
mithrodaniellimws: Of?03:21
daniellimwsHow to use nmigen_yosys03:21
mithrodaniellimws: Your too quick - I hadn't finished adding more links03:23
mithrohttps://github.com/SymbiFlow/sphinxcontrib-verilog-diagrams/issues/4003:23
tpbTitle: Investigate if we can use the Yosys uploaded to PyPi · Issue #40 · SymbiFlow/sphinxcontrib-verilog-diagrams · GitHub (at github.com)03:23
mithrodaniellimws: https://yowasp.github.io/03:25
tpbTitle: YoWASP | Unofficial WebAssembly-based packages for Yosys, nextpnr, and more (at yowasp.github.io)03:25
mithrodaniellimws: Might also be worth asking whitequark in #nmigen03:25
daniellimwsAh ok03:27
mithrodaniellimws: Also yowasp was also only released today -- so :-)03:27
daniellimwsLooks really cool03:27
mithrodaniellimws: Did you see https://github.com/SymbiFlow/sphinxcontrib-verilog-diagrams/issues/36 ?03:54
tpbTitle: With no-license should have a way to display the skipped output · Issue #36 · SymbiFlow/sphinxcontrib-verilog-diagrams · GitHub (at github.com)03:54
daniellimwsmithro: Yup saw it but feels like gonna be troublesome to implement :P Need to make sure it also works well with other themes?03:56
daniellimwsAt least the default theme03:56
mithro@daniellimws -- There are only 2 that I care about - The symbiflow material design one and the default readthedocs one03:56
*** citypw has joined #symbiflow03:57
daniellimwsAlright I'll give it a try these few days03:57
*** kraiskil has quit IRC04:05
*** srt has joined #symbiflow04:11
*** srt has quit IRC04:15
*** srt has joined #symbiflow05:01
*** srt has quit IRC05:06
*** srt has joined #symbiflow05:41
*** srt has quit IRC05:45
*** awordnot has quit IRC06:12
*** awordnot has joined #symbiflow06:35
*** srt has joined #symbiflow06:51
*** srt has quit IRC06:56
*** mkru has joined #symbiflow07:06
*** mkru has quit IRC07:09
*** OmniMancer has joined #symbiflow07:15
*** OmniMancer has quit IRC07:41
*** OmniMancer has joined #symbiflow07:43
*** srt has joined #symbiflow07:51
*** srt has quit IRC07:56
*** proteus-guy has quit IRC08:08
*** srt has joined #symbiflow09:01
*** srt has quit IRC09:06
*** az0re has quit IRC09:36
*** kraiskil has joined #symbiflow09:58
*** mkru has joined #symbiflow10:08
*** srt has joined #symbiflow10:12
*** srt has quit IRC10:16
tntkgugala: If I instanciate a logic_cell_macro , will it actually implement it ?10:35
sf-slack3<kgugala> yesterday, techmap for that was merged10:35
sf-slack3<kgugala> so now you should be able to instantiate logic_cell_macro10:36
tntOh, great :)10:38
tntAnd gclk fixes too it seems.10:45
sf-slack3<kgugala> yep10:45
sf-slack3<kgugala> there is still some stuff to be done in gclk10:46
sf-slack3<kgugala> I think it should be ready today10:46
*** srt has joined #symbiflow11:02
*** srt has quit IRC11:06
tntkgugala: https://pastebin.com/raw/MjbLz0eJ  Does that ring a bell ?11:11
sf-slack3<kgugala> nope, can you file an issue about it11:12
tntdone aa65abdeb8754f60f425ca4ecfa03c176104e90f11:14
tnterr, I meant https://github.com/QuickLogic-Corp/symbiflow-arch-defs/issues/2911:14
tpbTitle: "TypeError: list indices must be integers or slices, not str" in timing importer · Issue #29 · QuickLogic-Corp/symbiflow-arch-defs · GitHub (at github.com)11:14
sf-slack3<kgugala> I'll take a look on that11:14
*** daveshah has quit IRC12:54
*** daveshah has joined #symbiflow12:54
sf-slack3<c-slack> How would I approach a frontend for a new chip? How easy is it to port the fuzzer? More specifically I'd like to try to adapt the ECP5 fuzzer to the MachXO3.13:21
Loftydaveshah: ^13:21
daveshahtalk to cr1901 as he is working on MachXO2 which is very similar13:21
daveshahI don't know if he is on this channel, but he is probably on ##openfpga or #yosys13:21
*** andrewb1999 has joined #symbiflow13:24
*** FFY00 has quit IRC13:28
sf-slack3<c-slack> thanks!13:29
*** FFY00 has joined #symbiflow13:29
*** proteus-guy has joined #symbiflow14:19
*** srt has joined #symbiflow15:00
tntAnyone know how to constrain cross clock parth in VPR ?15:32
tntATM it basically constraint them to ... 0ns ... which obviously fails. I'd jue like to set some max delay spec.15:32
*** citypw has quit IRC15:42
*** gsmecher has joined #symbiflow15:43
tntset_max_delay 40 -from [get_clocks {clk_wb}] -to [get_clocks {clk_usb}]15:55
tnt=> Message: set_max_path must specify at least one -from or -to clock15:55
tntNo-one ever used that ?16:12
tntI have "create_clock -period 83.00 clk_wb" and it finds the clokc for that just fine so I have no clue wtf it's complainaing about for the max delay.16:13
tntOk, so ... if I use "usb_I.uc_clk" it works :/ .... but I don't _control_ that name, it's whatever yosys decided to use.16:15
tntAnd the eblif has a ".names usb_I.uc_clk clk_wb" marking them as being the same.16:16
*** andrewb1999 has quit IRC16:16
*** andrewb1999 has joined #symbiflow17:02
*** OmniMancer has quit IRC17:12
litghostSo we had some issues around this17:13
litghostBut I believe that all clock aliases are supported, if they are present in the eblif17:13
litghostWe have an open issue about propigating clock constraints through yosys transformations, but it hasn't been finished yet17:14
litghostWhich git hash is your VTR at?17:14
litghostBecause I believe upstream VTR and symbiflow VTR both support using an aliased net name in SDC17:15
litghosttnt: The relevant code was added in https://github.com/verilog-to-routing/vtr-verilog-to-routing/pull/117317:18
tpbTitle: vpr: use alias name mapping for clock nets when removing buffer luts by acomodi · Pull Request #1173 · verilog-to-routing/vtr-verilog-to-routing · GitHub (at github.com)17:18
tntlitghost: The alias works for 'create_clock' (to set up period/frequency). But not for set_max_path :/17:23
tnthash is 8980e46218542888fac879961b13aa7b0fba843217:23
litghosttnt: Totally possible.  Should be an easier enough fix17:23
litghosttnt: Can you describe the clock relationship between clk_wb and clk_usb?17:25
litghosttnt: Are they simply phase shifted from one another?17:25
litghosttnt: You should definitely fill an issue on VTR about set_max_delay not support net aliases, I think that was an oversight17:27
litghosttnt: However depending on the clock relationship you are trying to describe, I believe create_clock is enough17:27
-_whitenotifier-f- [sphinxcontrib-verilog-diagrams] mithro opened issue #41: Weird formatting - https://git.io/Jfhvj17:28
tntlitghost: they are different frequencies (12M and 36M).17:30
tntBut there is proper CDC for all signals not assuming any relationship, so to make sure it works, it just needs to make sure the max delay is shorter than 1 clock period of the slower clock.17:30
tnt(and definitely doesn't work without constrain ... by default it tries to capture at edge 0 ns the data emitted at edge 0 ns ... which obviously yields a violation).17:32
litghosttnt: You have reached the edge of my limited knowledge on timing enforcement.  kmurray from the VTR project should be able to answer your question.17:32
litghosttnt: I believe in your case, the fact that set_max_delay isn't respecting the clock alias is a straight forward bug, and should be fixed17:33
litghosttnt: Does the analysis work as expected if you use the other net alias?17:33
tntyup, I used usb_I.uc_clk in the constraint temporarily and it works as expected.17:34
litghosttnt: Ok, thats good.  Then it sounds like the solution is to add net alias support to https://github.com/verilog-to-routing/vtr-verilog-to-routing/blob/master/vpr/src/timing/read_sdc.cpp#L89617:35
tpbTitle: vtr-verilog-to-routing/read_sdc.cpp at master · verilog-to-routing/vtr-verilog-to-routing · GitHub (at github.com)17:35
litghosttnt: I expect it should be a straight forward change.  If you don't feel comfortable making the change, ping acomodi, as they made the previous fix around this issue17:35
-_whitenotifier-f- [vtr-verilog-to-routing] smunaut opened issue #524: Net alias not properly considered when creating set_{min,max}_delay constrainst - https://git.io/JfhfQ17:43
*** mkru has quit IRC17:43
*** kraiskil has quit IRC18:38
*** az0re has joined #symbiflow19:21
*** mkru has joined #symbiflow19:30
*** titanbiscuit has quit IRC19:43
*** titanbiscuit has joined #symbiflow19:43
*** maartenBE has quit IRC20:05
*** maartenBE has joined #symbiflow20:12
*** mkru has quit IRC20:25
*** mkru has joined #symbiflow20:29
*** mkru has quit IRC20:36
HackerFooIn case anyone else sees this bug: https://github.com/YosysHQ/yosys/issues/219021:47
tpbTitle: Stack overflow in XAigerWriter::bit2aig(Yosys::RTLIL::SigBit) · Issue #2190 · YosysHQ/yosys · GitHub (at github.com)21:47
*** srt has quit IRC22:15
-_whitenotifier-f- [python-symbiflow-v2x] mithro opened issue #68: Publish to PyPi - https://git.io/JfhZz22:27
-_whitenotifier-f- [fpga-tool-perf] HackerFoo opened issue #172: write_bitstream fails on baselitex with nextpnr-xilinx and latest yosys - https://git.io/JfhZ622:34
*** awordnot has quit IRC23:10
*** awordnot has joined #symbiflow23:11

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!