Sunday, 2020-05-24

*** tpb has joined #symbiflow00:00
*** kraiskil_ has quit IRC00:06
*** OmniMancer has joined #symbiflow01:16
*** andrewb1999 has joined #symbiflow01:31
*** rvalles_ has joined #symbiflow01:34
*** rvalles has quit IRC01:34
*** OmniMancer has quit IRC02:32
*** OmniMancer has joined #symbiflow02:33
*** andrewb1999 has quit IRC02:50
*** Degi has quit IRC03:05
*** Degi has joined #symbiflow03:08
*** Bertl is now known as Bertl_zZ03:19
*** _whitelogger has quit IRC03:30
*** _whitelogger has joined #symbiflow03:32
*** _whitelogger has quit IRC03:39
*** _whitelogger has joined #symbiflow03:41
*** _whitelogger has quit IRC06:12
*** _whitelogger has joined #symbiflow06:14
*** tux3 has quit IRC09:11
*** kraiskil_ has joined #symbiflow09:12
*** citypw has joined #symbiflow09:13
*** tux3 has joined #symbiflow09:14
*** tux3 has quit IRC09:17
*** tux3 has joined #symbiflow09:17
*** ricos has joined #symbiflow10:30
*** ayazar has joined #symbiflow10:34
ricosdaveshah I'm trying to run a blinky led on a zynq xc7z020clg484-1 but it crashes with: https://pastebin.com/C0pqLRvK . Running the same code with an artix works fine.10:39
tpbTitle: Info: Preparing clocking... terminate called after throwing an instance of 'std - Pastebin.com (at pastebin.com)10:39
daveshahricos: what is the backtrack?10:40
daveshah*backtrace10:41
ricosdaveshah, https://pastebin.com/i8nKbmYS10:43
tpbTitle: #0 0x00007ffff6042ce5 in raise () from /usr/lib/libc.so.6 #1 0x00007ffff602c8 - Pastebin.com (at pastebin.com)10:43
daveshahricos: hmm, no idea, if you provide a test case I'll have a look at some point but it might be a few days10:45
daveshahjust to check, is the device database as recent as the nextpnr binary?10:47
*** proteus-guy has joined #symbiflow10:49
ricosdaveshah, it should be ...10:49
daveshahthat should all be fine then10:50
ricosnextpnr-xilinx -- Next Generation Place and Route (Version a9df1d5e)10:50
ricoswhere could I check the device database?10:52
daveshahif you built it at the same time as building nextpnr then that will be fine10:52
ricosyes10:52
daveshahthen please provide a JSON & xdc and I'll look further10:57
ricosdaveshah, https://tmpfiles.org/download/51038/archiv.tar11:03
tpbTitle: /tmp/files - Temporary File Hosting (at tmpfiles.org)11:03
daveshahthanks11:03
ricosdaveshah, in your example (artyz7 https://github.com/daveshah1/nextpnr-xilinx/blob/xilinx-upstream/xilinx/examples/artyz7-20/blinky.sh) you use a xc7z020clg400 . Is there an easy way to get the database for this chip?11:06
tpbTitle: nextpnr-xilinx/blinky.sh at xilinx-upstream · daveshah1/nextpnr-xilinx · GitHub (at github.com)11:06
daveshahricos: I created it manually a while ago11:06
daveshahbut that was a hack and I can't remember the exact steps11:07
daveshahone of the xray people (litghost?) will be online later and can help11:07
ricosok... thanks for your help11:08
daveshahricos: the problem is that T2 is one of the Zynq special purpose ways11:12
daveshah*special purpose IO11:12
daveshahit's failing in a horrible way and I'll add it to my todo list to fix (this kind of issue is why nextpnr-xilinx isn't close to end-user ready yet unfortunately)11:12
daveshahbut you would need to use a pin that is connected to the FPGA fabric (ideally a clock input) for the clock11:13
ricosdaveshah, thanks, using another pin works as expected11:22
*** kraiskil_ has quit IRC11:48
*** kraiskil_ has joined #symbiflow12:16
*** OmniMancer1 has joined #symbiflow12:47
*** OmniMancer has quit IRC12:51
*** Bertl_zZ is now known as Bertl13:02
*** y2kbugger has quit IRC13:18
*** ovf has quit IRC13:18
*** elms has quit IRC13:21
*** perillamint has quit IRC13:22
*** ovf has joined #symbiflow13:23
*** y2kbugger has joined #symbiflow13:24
*** perillamint has joined #symbiflow13:25
*** y2kbugger has quit IRC13:30
*** perillamint has quit IRC13:30
*** y2kbugger has joined #symbiflow13:30
*** ovf has quit IRC13:30
*** perillamint has joined #symbiflow13:30
*** elms has joined #symbiflow13:31
*** ovf has joined #symbiflow13:33
*** kgugala_ has joined #symbiflow14:04
*** kgugala has quit IRC14:06
*** kgugala has joined #symbiflow14:09
*** kgugala_ has quit IRC14:12
*** citypw has quit IRC15:20
*** ricos has quit IRC16:12
*** OmniMancer1 has quit IRC16:18
*** kgugala has quit IRC17:00
*** kgugala has joined #symbiflow17:01
*** kgugala_ has joined #symbiflow17:05
*** kgugala has quit IRC17:08
*** az0re has quit IRC17:18
*** kraiskil_ has quit IRC17:46
*** az0re has joined #symbiflow18:20
*** andrewb1999 has joined #symbiflow19:10
*** andrewb1999 has quit IRC19:40
*** andrewb1999 has joined #symbiflow20:22
*** andrewb1999 has quit IRC20:37
*** ayazar has quit IRC20:41
*** OmniMancer has joined #symbiflow21:18

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!