Monday, 2020-05-25

*** tpb has joined #symbiflow00:00
*** citypw has joined #symbiflow01:17
*** Degi has quit IRC03:05
*** Degi has joined #symbiflow03:06
*** az0re has quit IRC03:37
*** az0re has joined #symbiflow03:39
*** Bertl is now known as Bertl_zZ03:53
*** IanWizard has left #symbiflow04:16
*** citypw has quit IRC04:32
*** kraiskil_ has joined #symbiflow07:46
*** kraiskil_ has quit IRC07:49
*** az0re has quit IRC07:50
*** az0re has joined #symbiflow07:55
-_whitenotifier-c- [prjxray] acomodi opened issue #1343: Assign xc7 prefix to prjxray tools to avoid conflicts - https://git.io/JfV0b09:38
*** mkru has joined #symbiflow10:23
*** kraiskil has joined #symbiflow11:01
*** Bertl_zZ is now known as Bertl12:28
*** citypw has joined #symbiflow12:37
*** mkru has quit IRC12:38
*** ayazar has joined #symbiflow12:49
*** proteusguy has quit IRC13:04
*** proteus-guy has quit IRC13:04
*** FFY00 has quit IRC13:15
*** FFY00 has joined #symbiflow13:16
*** proteusguy has joined #symbiflow13:17
*** proteus-guy has joined #symbiflow13:18
*** kgugala_ has quit IRC13:56
*** kgugala has joined #symbiflow13:56
*** gsmecher has joined #symbiflow13:59
*** az0re has quit IRC14:06
-_whitenotifier-c- [symbiflow-arch-defs] mkurc-ant opened issue #1503: Missing IOB timings in xc7 - https://git.io/JfV1615:21
*** citypw has quit IRC16:44
*** epony has quit IRC17:12
*** epony has joined #symbiflow17:22
*** OmniMancer has quit IRC17:38
*** FFY00 has quit IRC18:16
*** FFY00 has joined #symbiflow18:17
*** kgugala has quit IRC18:49
*** kgugala has joined #symbiflow18:49
*** az0re has joined #symbiflow19:20
ric96daveshah: ping20:14
daveshahric96: pong20:15
*** ayazar has quit IRC20:21
ric96daveshah: not sure if its a known issue or anyone has tried this before. I was trying to build the VexRiscV-Linux demo from here: https://github.com/SymbiFlow/symbiflow-examples/tree/master/linux_litex_demo using nextpnr-xilinx for arty-a35.20:21
ric96I had two issues:20:21
ric961) yosys fails to initialize many non-zero wires, same as lattice?20:21
ric963) Nextpnr Crashes at20:21
ric96```20:21
ric96Info:     Created 3 INVERTER cells from:20:21
tpbTitle: symbiflow-examples/linux_litex_demo at master · SymbiFlow/symbiflow-examples · GitHub (at github.com)20:21
ric96Info:              3x INV20:21
ric96Info:     Created 30 IOB33_INBUF_EN cells from:20:21
ric96Info:             30x IBUF20:21
ric96Info:     Created 62 IOB33_OUTBUF cells from:20:21
ric96Info:             39x OBUF20:21
ric96Info:             23x OBUFT20:21
ric96Info:     Created 75 PAD cells from:20:21
ric96Info:             75x PAD20:21
daveshahI have never tried that demo with nextpnr20:21
daveshahThe Yosys issue is normal with any LiteX design20:22
ric96okay uploading full log in a sec, I just used the design as is from that repo and modified attosoc.sh as required20:24
daveshahI am not really supporting nextpnr-xilinx at the moment, I have a load of cleanup I want to sort out first20:25
daveshahSo if the fix is non-trivial then it probably won't be done for a while20:26
ric96yeah now worries just wanted to report20:27
ric96s/now/no20:27
daveshahFWIW, to get the Linux demo working, I reduced the clock rate in the Litex Arty target to 60MHz, removed everything but serial and ethernet from https://github.com/litex-hub/linux-on-litex-vexriscv/blob/master/make.py#L34 and used the litex build system20:29
tpbTitle: linux-on-litex-vexriscv/make.py at master · litex-hub/linux-on-litex-vexriscv · GitHub (at github.com)20:29
daveshahthen took the files and used a similar script20:29
daveshahI have never tried those particular files with nextpnr20:29
ric96daveshah: demo works fine if i just use symbiflow prjxray bits20:29
daveshahRight, it has only been tested with those20:30
ric96daveshah: https://paste.ubuntu.com/p/dR55tCDhVF/ just for reference20:44
tpbTitle: Ubuntu Pastebin (at paste.ubuntu.com)20:44
*** OmniMancer has joined #symbiflow21:14
*** siriusfox_ has quit IRC21:39
*** siriusfox has joined #symbiflow21:47
*** kraiskil has quit IRC21:53
*** rvalles_ is now known as rvalles22:03
*** OmniMancer has quit IRC22:24
*** OmniMancer has joined #symbiflow22:25

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!