Tuesday, 2020-03-10

*** tpb has joined #symbiflow00:00
*** lopsided98 has quit IRC01:03
*** lopsided98 has joined #symbiflow01:04
*** epony has joined #symbiflow01:33
*** _whitelogger has quit IRC01:57
*** _whitelogger has joined #symbiflow01:59
*** Gnomogandomo has joined #symbiflow05:04
*** Gnomogandomo has quit IRC05:05
*** Vonter has quit IRC05:44
*** Vonter has joined #symbiflow06:25
*** OmniMancer has joined #symbiflow06:54
sf-slack<kgugala> hi @ahegazy do you have any particular PR in mind?07:24
*** clay_1 has joined #symbiflow08:11
clay_1Good morning !08:11
*** Hegazzy has joined #symbiflow08:14
*** HEGAZY has joined #symbiflow08:25
*** HEGAZY has quit IRC08:28
*** HEGAZY has joined #symbiflow08:33
*** HEGAZY has quit IRC08:35
*** HEGAZY has joined #symbiflow08:35
HEGAZY     @kgugala sv-tests #68708:36
*** HEGAZY has quit IRC08:37
sf-slack<kgugala> @HEGAZY somebody will review the PR this week (probably next 2 days)08:38
*** n45405 has joined #symbiflow08:39
n45405hey @kgugala sv-tests #68708:41
*** n45405 has left #symbiflow08:41
*** Bertl_oO is now known as Bertl_zZ09:00
*** HEGAZY has joined #symbiflow09:08
*** n47165 has joined #symbiflow09:08
*** HEGAZY has quit IRC09:13
*** n47165 has quit IRC09:13
clay_1While trying to run the fasm2pips I run into the following errors09:26
clay_1`./utils/fasm2pips.py --part xc7a35tcpg236-1  1.fasm`Traceback (most recent call last):  File "./utils/fasm2pips.py", line 50, in <module>    main()  File "./utils/fasm2pips.py", line 46, in main    ' '.join('[get_pips {}]'.format(pip) for pip in inner())))  File "./utils/fasm2pips.py", line 46, in <genexpr>    ' '.join('[get_pips {}]'.format(pip)09:26
clay_1for pip in inner())))  File "./utils/fasm2pips.py", line 41, in inner    if pip.net_from == parts[2] and pip.net_to == parts[1]:IndexError: list index out of range09:26
clay_1Could it be because my part.yaml location is not set correctly ? ( since in similar issue I had with xc7frames2bit  i had to use the `part_file` argument ?09:28
sf-slack<kgugala> @clay_1 are you able to provide the fasm file you're trying to run through the tool?10:03
clay_1here ? sure10:04
clay_1its a fasm file created from the bit2fasm.py10:04
clay_1https://easyupload.io/67847y10:06
tpbTitle: Easyupload.io - Upload files for free and transfer big files easily. (at easyupload.io)10:06
*** qwerqwer has joined #symbiflow10:55
*** qwerqwer has quit IRC10:56
*** _whitelogger has quit IRC11:27
*** _whitelogger has joined #symbiflow11:29
*** HEGAZY has joined #symbiflow12:04
*** clay_1 has quit IRC12:24
*** clay_1 has joined #symbiflow12:51
litghostclay_1: fasm2pips.py is an older script, and you found a latent bug in it13:28
*** clay_1 has quit IRC13:44
*** HEGAZY has quit IRC14:02
*** clay_1 has joined #symbiflow14:16
clay_1litghost oh, I see, has it been replaced by another script ?14:17
*** citypw has quit IRC14:29
*** clay_1 has quit IRC14:32
*** Bertl_zZ is now known as Bertl14:42
*** clay_1 has joined #symbiflow15:04
*** HEGAZY has joined #symbiflow15:10
*** OmniMancer has quit IRC15:19
litghostclay_1: fasm2pips is just a debugging script.  fasm2bels is the more complete version15:30
litghostclay_1: You are welcome to submit a patch fixing the bug15:30
clay_1@litghost Thanks for the reply, sadly I doubt I have the skills to fix it15:32
clay_1Is fasm_pprint.py an older script that is not used anymore as well ?15:33
clay_1because I think it is missing a `from prjxray import util` line15:33
litghostclay_1: Yes15:39
clay_1litghost so there is no point in reporting it as an issue, right ?15:40
litghostclay_1: They are worth fixing, but they aren't the primary utilities15:42
litghostclay_1: bit2fasm, fasm2frames, fasm2bels are all primary utilities, the others are smaller tools that have less testing and may go stale15:43
clay_1litghost Okey, fasm2bels, is not in the project xray repo, right ?15:44
litghostclay_1:  Correct15:45
clay_1so I will need to get the symbiflow repo as well15:48
clay_1litghost fasm2bels, needs --connection_database and --db_root arguments among others15:56
clay_1so for --db_root I guess it will be something like prjxray/database/artix7/xc7a35tcpg236-1 for the xc7a35tcpg236-1  part15:56
clay_1but what about the --connection_database ?15:57
litghostclay_1: I recommend letting the build system take care of that15:57
litghostclay_1: Follow the readme for examples15:57
clay_1litghost I got that info from the readme15:58
clay_1Invoking--------`python3 -mfasm2bels <options> <verilog> <tcl>`Required arguments are: - `--connection_database` - Path to connection database for part - `--db_root` - Path to prjxray database for part - `--part` - FPGA part - `--fasm_file` - Path to FASM file to process - verilog - Path to verilog file to write - tcl - Path to TCL file to write15:58
litghostWrong README: https://github.com/SymbiFlow/symbiflow-arch-defs/blob/master/README.md15:59
tpbTitle: symbiflow-arch-defs/README.md at master · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)15:59
clay_1litghost I had followed that untill the `make all_conda` part16:00
clay_1so for 7 series I should do the `make all_xc7` as well before trying anything?16:00
litghost"make dram_test_64x1d_vivado" would be a good example, per the docs16:02
litghostIt makes use of fasm2bels16:02
clay_1ok I will try it, thank you litghost16:02
clay_1litghost I got the following16:48
clay_1make[1]: *** No rule to make target 'dram_test_64x1d_vivado'.  Stop.16:48
clay_1Makefile:28: recipe for target 'dram_test_64x1d_vivado' failedmake: *** [dram_test_64x1d_vivado] Error 216:48
litghostWhen you ran cmake did it warn about not having Vivado?16:49
clay_1hmm I dont remember that. The fsm2bel script will make use of vivado ?16:50
clay_1I thought It would give tcl + verilog and then I would have to import them to vivado manually16:50
litghostThe target "dram_test_64x1d_vivado" generates then verilog + tcl and then feeds them into Vivado16:51
clay_1ohhh16:51
clay_1is it possible to skip the last part ?16:51
litghostYes, run "dram_test_64x1d_bit_v"16:52
clay_1great, will try it right away16:52
clay_1litghost I ran that with no errors. The output files it gave are the top_bit.v and top_bit.v.tcl ?16:57
litghostYes16:58
litghostIf you want to see the commands run, "make VERBOSE=1 <target>" works nicely16:58
clay_1nice :)16:58
clay_1in target I put an xc7 part name ?16:59
litghostNo, like "dram_test_64x1d_bit_v"17:00
clay_1litghost thanks, I dont see running the fasm2bels in the verbose output though17:07
litghostYou already built the outputs, so make isn't running it anymore17:07
litghostRemove the outputs, etc etc17:07
clay_1oh ok thanks I will try it17:08
clay_1litghost are all the files in the folder artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test generated from the test ?17:28
litghostYes17:28
clay_1thanks17:29
-_whitenotifier-3- [sv-tests] ahegazy opened issue #688: Convert Atest cases - https://git.io/JvK0117:36
clay_1litghost if I replace the file top.bit.fasm with a .fasm file I create from bit2fasm.py and then delete the  top_bit.v and top_bit.v.tcl  will it efectively recreate them from the fasm file I inputed ?17:41
litghostThat would work, but is kind of round-about way to do it17:42
litghostBut yes17:42
clay_1yeah I am trying to find a way to make it work, in the fasm2bel line a lot of things are beeing defined17:43
clay_1what confuses me a bit is the folder title `-xc7a50t-basys3`17:46
clay_1while in the comands I see `--part xc7a35tcpg236-1`17:47
clay_1so do the 35t and 50t basys 3 have actually the same files or something like that ?17:48
*** HEGAZY has quit IRC17:48
litghostAll Yes17:49
litghostYes17:49
clay_1nice, thanks for the clarification :)17:49
*** clay_1 has quit IRC18:16
*** HEGAZY has joined #symbiflow18:31
HEGAZY Hi all,19:05
HEGAZYI've been lurking around the project and this IRC channel log for a couple of days now till i got the grasp for what's going on, I'm interested in joining you this year in Gsoc, I am a 4th year electronics Engineering student.19:05
HEGAZYI was an intern at mentor graphics last November worked on writing VHDL, Verilog, systemverilog testcases for the new checks in Questa lint tool, basically like what's happening in the sv-tests repo, plus validating them against customer designs like arm, amd, riscv etc and automating this using scripts19:05
HEGAZYI have implemented AES encryption in verilog and wrote a testbench for it using UVM : github.com/ahegazy/aes19:06
HEGAZYmy github has multiple HDL projects that i created and other projects too, take a look if you have time: https://github.com/ahegazy?tab=repositories , this is my linkedin: linkedin.com/in/ahegazi/ (would be love to connect you guys there).19:06
tpbTitle: ahegazy (Ahmad Hegazy) / Repositories · GitHub (at github.com)19:06
HEGAZYI've checked the ideas repo but i am a bit lost, and I wanted a challenging task to learn something new, unfortunalty i don't have access to an FPGA to help in the bitstream mapping part.19:06
HEGAZYI was thinking of continuing the work on the sv-test repo (writing sv testcases from the lrm) in parrallel with a new challenging task for me, i need your input on this, what part of the project you need help most and where my experience can fit in the most?19:06
HEGAZY** TL;DR **19:06
HEGAZY* I am fascinated by the project and the amount of collaboration in it.19:07
HEGAZY* wanna join you in Gsoc, brief resume: https://drive.google.com/file/d/1BD8th3Zuzzcw29-JNe8uiaXAAjc-8fVz/view19:07
tpbTitle: AhmadHegazyResume.pdf - Google Drive (at drive.google.com)19:07
HEGAZY* need help choosing a project to work on19:07
HEGAZYThanks :D19:07
ZirconiumXHi HEGAZY19:13
ZirconiumXYou have quite the impressive resume19:14
HEGAZYthanks ZirconiumX, hope I can learn more contributing to this project19:16
ZirconiumXSo, you don't need an FPGA to help with the bitstream tools19:18
ZirconiumXBut then you don't need to work on the bitstream stuff either19:18
HEGAZYyeah i am a bit lost on where i can fit the most if you can help :D19:18
*** Bertl is now known as Bertl_oO19:19
ZirconiumXHmm. Where in the flow would you like to work? This seems like an important question to ask.19:20
sf-slack<mgielda> hi Hegazy, I believe we will be able to help you choose a project.19:25
sf-slack<mgielda> happy to see the sv-tests work. this is mostly our doing and expanding it is welcome19:26
sf-slack<mgielda> there is an ongoing effort to improve sv support in open tooling and every little bit helps19:26
HEGAZY@ZirconiumX well the whole thing is just awesome, at first i was looking for some HDL challenge, like timing analysis, CDC, i haven't got my hands dirty in those topics, but i saw the project and the work on the tools, and i didn't know what should i focus on right now19:29
sf-slack<mgielda> rule #1 focus on what you like doing most19:30
sf-slack<mgielda> have you read this: https://symbiflow.github.io/summer-of-code ?19:32
tpbTitle: SymbiFlow - the GCC of FPGAs (at symbiflow.github.io)19:32
HEGAZYHi mgielda, thanks i will help as  i could,  yup 1st thing i read about a week ago, that's how i got here, i will reread it again now19:34
sf-slack<mgielda> I can see that you work in QA - do you think this is what you'd like to focus on, i.e. write more tests19:35
sf-slack<mgielda> or are you longing for a more development oriented tasks? ;)19:35
sf-slack<mgielda> how do you feel with Python? c++?19:36
HEGAZYyeah the QA work was my intern at mentor, honestly I am looking for a more challenging development oriented tasks, and i can help with the testcases too,19:38
HEGAZYyeah it would be good to work with python and C++, i started off with a little contribution PR #687 in sv-tests :D19:39
sf-slack<mgielda> we will take a look, I am sure the team will chat you up tomorrow to help you figure out how to best use your talents!19:40
HEGAZYI have a small question, is there any pure HDL related work other than sv-tests?19:40
HEGAZYGreat thanks mgielda19:41
ZirconiumXYosys could always use additional testing19:52
sf-slack<mgielda> there should be. just a matter of a well defined enough task you could take on19:54
*** tanmaymk has joined #symbiflow20:09
*** clay_1 has joined #symbiflow20:09
*** tanmaymk has quit IRC20:20
clay_1litghost looks like the trick didnt work. top.bit.fasm gets overwritten when re-executing `make dram_test_64x1d_bit_v`20:31
sf-slack<acomodi> clay_1: try to replace the top.bit file with your bitstream instead. Fasm2bels works in a way that it dumps the fasm of the top.bit into top.bit.fasm, that is why it got overwritten20:36
clay_1thanks! will try it20:36
ZirconiumXHEGAZY: to me there are a bunch of things to do in Yosys, but I don't know if you care much about that part of the flow20:41
ZirconiumXFor example, I feel like much of Yosys could opportunistically work in parallel across module20:42
HEGAZY /join #yosys20:47
HEGAZYyup that was wrong20:47
HEGAZYZirconiumX yeah that looks intersting, but right now i don't have a clue how it works from inside :D, i'll be reading its docs and clifford paper these next days to get a better understanding of the problem thanks20:49
ZirconiumXClaire.20:49
ZirconiumXNot Clifford.20:50
clay_1I think that not all the documentation is updated on that matter yet ZirconiumX20:50
HEGAZYit said clifford on the paper http://www.clifford.at/yosys/files/yosys-austrochip2013.pdf , just saw the edit on the main site, sorry :D20:51
ZirconiumXTrue, but where you see "Clifford" use "Claire"20:51
ZirconiumXMmm. Given that has a 2013 date, it's likely to be pretty heavily out of date I think20:52
mithroHEGAZY: Thank you for the pull request on sv-tests!20:52
clay_1True, but I guess there will be a period of people making that misstake untill it settles. Also I am not sure what applies on referencing her work on official documents (since there might be conflict of names due to lack of update)20:54
HEGAZYmithro: you'r welcome, I hope it won't be the last20:54
mithroHEGAZY: If you have interest in sv-tests and related projects, there is plenty that could be done there as part of GSoC20:54
ZirconiumXclay_1: I'd definitely avoid deadnaming people even if the paper is published under an old name.20:55
HEGAZYcan you illustrate more please20:55
HEGAZYor give an example20:56
ZirconiumXTo whom are you replying?20:56
HEGAZYmithro20:56
clay_1ZirconiumX I totally agree with that. I think that the best course of action is that if one plans to reference her and cant find a correct ref to directly come in contact with her and see if she can manually change that or something20:57
mithroI believe Claire has requested to be cited as "C. Wolf" if possible -- which covers both cases20:57
ZirconiumXYeah.20:57
clay_1@mithro clever ;)20:57
mithroHEGAZY: For example, we would love to work on a "system verilog feature detector" which is able to give you a list of the system verilog functionality a project is using and thus which tools will are more likely to be compatible with the project20:58
HEGAZYdo you mean features like structs, classes, functions, conditions, loops etc or am i getting it wrong?21:01
mithroHEGAZY: yeah21:01
mithroHEGAZY: Adding more complex test cases to sv-tests which check things like simulation and synthesis actually work (rather than just parsing) would be another interesting project21:02
mithroHEGAZY: My main question for you is -- what are *you* excited about working on?21:02
mithroHEGAZY: being self motivated is an important part of being a GSoC student21:03
mithrobblr21:03
ZirconiumXI've considered entering GSoC, but I have pretty terrible focus...21:06
HEGAZYmithro: honstley i am a bit confused, too many options means more confusion for me :D21:07
HEGAZYand everything is exciting, i know that if i started on one thing my whole focus will be on it, but the  problem is choosing that thing21:07
ZirconiumXAdding SV tests does seem like a useful thing21:08
HEGAZYanyway i'll read more on the projects you mentioned and comeback to you21:08
HEGAZYZirconiumX: yeah i was thinking of it as a side contribution besides the main challenge21:09
ZirconiumXI wouldn't underestimate the complexity of it :P21:09
HEGAZYyeah i know i worked kinda full time on adding testcases but i wanna improve my experience in something new21:10
HEGAZYtbh i haven't considered software development seriously till i talked to you, it looks fun and challenging for me, and i think i do quite well on it21:12
ZirconiumXI actually came to hardware from software, rather than the other way round21:13
ZirconiumXAnd the software stack is what gets us to the bitstream at all :P21:15
HEGAZYmy major is electronics so we are more focused on hardware, but i practiced with mircocontroller and stuff with C which is software dev.21:16
HEGAZYi didn't get the last message, software stack gets you to the bitstream how?21:16
sf-slack<aryap> hello again. are artix7 and zynq7 in the symbiflow-arch-defs repo the most advanced commercial-like architecture models available?21:22
clay_1HEGAZY all the tools that do the bitstream reverse engineering are software so i think it makes sense in that way21:23
clay_1@acomodi It worked, I mean now it doesnt get overwritten and I get an output. The wierd thing now is the output itself21:24
clay_1when I try to synthesize the verilog file I get an error21:25
HEGAZYclay_1: it's more like the chicken or the egg21:25
clay_1because signal  led is defined both as an input and an output `  input [7:0] led,  input rx,  input tx,  output [8:0] led`21:26
clay_1HEGAZYI guess you could say so21:27
ZirconiumXHEGAZY: synthesis, place-and-route, bitstream assembly, bitstream programming; it's all software21:31
*** _franck_ has left #symbiflow22:00
*** clay_1 has quit IRC22:41
*** HEGAZY has quit IRC23:50

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!