Wednesday, 2020-01-29

*** tpb has joined #symbiflow00:00
*** siriusfox has quit IRC01:25
*** siriusfox has joined #symbiflow02:02
*** Thalheim has joined #symbiflow02:58
*** Bertl_oO is now known as Bertl_zZ03:37
*** citypw has joined #symbiflow03:58
*** unkraut has quit IRC05:08
*** freemint has quit IRC05:18
*** OmniMancer has joined #symbiflow05:55
*** az0re has joined #symbiflow06:15
*** citypw has quit IRC06:49
*** citypw_ has joined #symbiflow06:52
*** citypw_ has quit IRC06:53
*** sth0R__ has joined #symbiflow06:53
*** citypw has joined #symbiflow06:55
*** proteus-guy has joined #symbiflow08:33
*** tiwEllien has joined #symbiflow08:34
*** rvalles_ has quit IRC09:34
*** rvalles_ has joined #symbiflow09:48
*** proteus-guy has quit IRC10:31
*** az0re has quit IRC10:46
*** freemint has joined #symbiflow10:58
*** freemint has quit IRC11:02
*** acomodi has quit IRC11:35
*** Bertl_zZ is now known as Bertl11:45
*** OmniMancer has quit IRC12:04
*** az0re has joined #symbiflow13:04
*** tiwEllien has quit IRC13:05
mithroacomodi: How goes the DDR work?15:29
sf-slack1<acomodi> @mithro: I could get through fasm2bels, obtaining a bitstream, which unfortunately didn't work. We have though the design place and routed through Vivado as well15:31
sf-slack1<acomodi> I might have an idea on why it still does not pass the memtest. The input IPAD related to the 100 MHz clock is still being routed through general interconnect instead of getting into the dedicated clock net through the CCIO pip15:32
sf-slack1<acomodi> There also might be an issue with CI (https://github.com/SymbiFlow/vtr-verilog-to-routing/pull/369). There is a build that is hanging15:33
tpbTitle: Sdcparser fix by acomodi · Pull Request #369 · SymbiFlow/vtr-verilog-to-routing · GitHub (at github.com)15:33
*** siriusfox has quit IRC15:34
sf-slack1<acomodi> That PR is adding the fix for the sdcparser to master+wip15:34
litghostacomodi: I don't believe the CI hung, I believe a failure is causing log spam15:35
sf-slack1<acomodi> @litghost The problem is finding what is causing that. Here I get a red CI instead https://github.com/SymbiFlow/symbiflow-arch-defs/pull/1285, but I doubt the changes in that PR are causing that issue15:40
sf-slack1<acomodi> The log in the last PR is actually pretty massive ~1.5 Gigs15:43
sf-slack1<acomodi> BTW, I also get this warning from Vivado in fasm2bels15:44
sf-slack1<acomodi> `WARNING: [DRC REQP-1580] Phase alignment: Unsupported clocking topology used for  RIOI3_X43Y45_OLOGIC_X1Y46_OSERDESE2. This can result in corrupted data. The RIOI3_X43Y45_OLOGIC_X1Y46_OSERDESE2/CLK / RIOI3_X43Y45_OLOGIC_X1Y46_OSERDESE2/CLKDIV pins should be driven by the same source through the same buffer type or by a BUFIO / BUFR combination in order to have a proper phase relationship OSERDESE2. Please refer to15:44
sf-slack1the Select I/O User Guide for supported clocking topologies of the chosen INTERFACE_TYPE mode.`15:44
litghost"/tmpfs/src/github/symbiflow-arch-defs/utils/quiet_cmd.sh: line 9: 13120 Killed                  "$@" > $OUTPUT 2>&1"15:45
litghostSo OOM?15:45
sf-slack1<acomodi> Apparently yes15:47
mithroacomodi: What is the clock path into the serdes?15:48
sf-slack1<acomodi> @mithro: IPAD (clk100) -> BUFG -> BUFHCE -> PLL -> BUFG -> BUFHCE -> SERDES15:49
mithroacomodi: Where is the general interconnect being used?15:50
sf-slack1<acomodi> IPAD -> BUFG15:50
mithroacomodi: What does the pathway IPAD -> BUFG look like when Vivado does PnR?15:56
mithroacomodi: Looking at https://www.xilinx.com/support/documentation/user_guides/ug472_7Series_Clocking.pdf15:59
sf-slack1<acomodi> @mithro: The output pin of the ILOGIC, instead of going through the interface and consequently to an INT tile, follows the IOI_I2GCLK_TOP, which ends up in a HCLK_CMT tile. From there it can get into the BUFG through the dedicated net15:59
mithroacomodi: Does litghost's clock placer respect the "Table 2-1: Clock-Capable Input Placement Rules" table?16:01
litghostmithro/acomodi: I believe the answer in this case is to artificially increase the criticality of the clock net16:01
sf-slack1<acomodi> @mithro: Yes, the rules in the table are preserved16:03
mithroacomodi: A CMT must be placed in the same clock region as the clock-capable input. <-- that is the important one16:04
mithroAlso -> When used as a differential clock input, the direct connection comes from the P-side of the differential input pin pair16:06
sf-slack1<acomodi> @mithro: interesting actually, the minitest produces an implementation that goes against that rule. But I believe that it actually is sth like `it is highly suggested to do so` kind of rule.16:08
sf-slack1<acomodi> What is a P-side?16:08
sf-slack1<acomodi> litghost: how to achieve the increase of criticality of a net?16:08
litghostacomodi: Initially?  hack it up.  Simplest answer: Provide an argument the net should have high/max criticality16:09
litghostacomodi: Good long term answer: If a net sinks at a clock port, then it should have high/max criticality16:10
sf-slack1<acomodi> @litghost Ok, I think the long term solution could be achieved in a reasonable amount of effort16:11
litghostacomodi: ok16:12
litghostThe reason I was suggesting a fast path was to first verify if raising the criticality even did what we wanted16:12
sf-slack1<acomodi> @litghost I'll start with the immediate hack to16:12
sf-slack1<acomodi> @litghost Yes, in fact I want first to verify this could solve the issue16:12
*** citypw has quit IRC16:28
mithroacomodi: Where is the minitest?16:30
sf-slack1<acomodi> https://github.com/SymbiFlow/prjxray/tree/master/minitests/litex/min_ddr/arty/src.yosys16:32
tpbTitle: prjxray/minitests/litex/min_ddr/arty/src.yosys at master · SymbiFlow/prjxray · GitHub (at github.com)16:32
sf-slack1<acomodi> mithro: ^16:32
mithroacomodi: Oh - it isn't part of arch-defs?16:34
sf-slack1<acomodi> @mithro: No, the minitest created in xray was run and verilog + mem_init files are being used in arch-defs16:39
sf-slack1<acomodi> But @tmichalak can confirm that16:40
*** citypw has joined #symbiflow16:44
mithroacomodi: So it looks like IPAD -> BUFG -> PLL is in the verilog17:16
mithroacomodi: Is the BUFG location the same between vivado and VPR?17:17
sf-slack1<acomodi> @mithro yes, exactly that one, I have actually locked all the clocking resources to use the correct locations manually17:19
sf-slack1<acomodi> Without using the clock placer17:19
mithroacomodi: Okay17:25
sf-slack1<acomodi> mithro: I do believe though that this is a timing-related issue17:31
sf-slack1<acomodi> By inspecting the dcp, there are some nets relative to the DDR that are routed vertically through three different clock regions17:33
sf-slack1<tmichalak> @acomodi @mithro the mini_ddr test has been merged to prjxray but for arch-defs it still remains a PR.17:42
hackerfooIs the VTR nightly test borken? Every test result is -1 even with verilog-to-routing/master.18:27
litghosthackerfoo: The QoR is out of date18:31
litghosthttps://github.com/verilog-to-routing/vtr-verilog-to-routing/pull/1082#issuecomment-57821029418:32
tpbTitle: Adding the kokoro CI configuration. by litghost · Pull Request #1082 · verilog-to-routing/vtr-verilog-to-routing · GitHub (at github.com)18:32
litghostBut that's it18:32
hackerfooIt's seems the perl scripts are broken, because the output from VPR looks normal, with no "-1"'s.18:59
litghostContext?19:08
hackerfoolitghost: This fails on my machine, and I can't make it not fail: ./run_reg_test.pl vtr_reg_nightly -show_failures19:12
litghostAt the end it should report "run failures" if any, and "QoR" failures, which we expect some of19:13
litghostBecause the QoR is out of date19:13
hackerfooI got the same thing in CI here: https://github.com/SymbiFlow/vtr-verilog-to-routing/pull/36619:13
tpbTitle: Disable high fanout routing when nearly done routing by HackerFoo · Pull Request #366 · SymbiFlow/vtr-verilog-to-routing · GitHub (at github.com)19:13
litghostYa, it fails because of 5 QoR failures19:14
litghostGo look at the end of the log file19:14
hackerfooAh okay. On my machine I get something different.19:16
hackerfooAs long as it's expected, I guess.19:16
litghostThe CI failure seems consistent, and should be fixed upstream19:17
*** Seraxis has joined #symbiflow19:59
*** az0re has quit IRC20:09
*** Bertl is now known as Bertl_oO20:27
*** Seraxis has quit IRC21:05
*** seraxis has joined #symbiflow21:06
*** seraxis has quit IRC21:22
*** seraxis has joined #symbiflow21:22
*** seraxis has quit IRC21:24
*** seraxis has joined #symbiflow21:25
*** freemint has joined #symbiflow21:40
*** freemint has quit IRC23:41

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!