Thursday, 2020-01-30

*** tpb has joined #symbiflow00:00
*** clacktronics has joined #symbiflow00:29
*** seraxis has quit IRC00:59
*** seraxis has joined #symbiflow00:59
*** clacktronics has quit IRC01:00
*** freemint has joined #symbiflow01:03
*** space_zealot has joined #symbiflow02:46
*** siriusfox has joined #symbiflow02:53
*** Vonter has quit IRC04:02
*** Vonter has joined #symbiflow04:02
*** space_zealot has quit IRC04:49
*** freemint has quit IRC04:51
*** Bertl_oO is now known as Bertl_zZ05:11
*** proteus-guy has joined #symbiflow05:23
*** proteus-guy has quit IRC05:26
*** proteus-guy has joined #symbiflow05:27
*** _whitelogger has quit IRC05:58
*** _whitelogger has joined #symbiflow06:01
*** proteus-guy has quit IRC06:11
*** adjtm has quit IRC06:13
*** adjtm has joined #symbiflow06:14
*** proteus-guy has joined #symbiflow06:27
*** Vonter has quit IRC06:53
*** Vonter has joined #symbiflow06:54
*** Vonter has quit IRC09:06
*** Vonter has joined #symbiflow09:22
*** rvalles_ has quit IRC09:34
*** rvalles_ has joined #symbiflow09:48
*** tux3_ has joined #symbiflow09:54
*** tux3 has quit IRC09:54
*** celadon has quit IRC09:54
*** celadon has joined #symbiflow09:57
mithroThe images in https://github.com/verilog-to-routing/vtr-verilog-to-routing/pull/1090 looks pretty10:10
tpbTitle: Improve VPR Graphics Router Expansion Visualization by kmurray · Pull Request #1090 · verilog-to-routing/vtr-verilog-to-routing · GitHub (at github.com)10:10
-_whitenotifier-3- [yosys-symbiflow-plugins] tmichalak opened issue #6: Travis: Add travis utility functions to for adding sections instead of echos - https://git.io/JvOuE10:17
*** proteus-guy has quit IRC10:52
*** seraxis has quit IRC11:23
*** synaption[m] has left #symbiflow11:24
*** synaption[m] has quit IRC11:24
*** seraxis has joined #symbiflow11:24
*** proteus-guy has joined #symbiflow11:28
*** seraxis has quit IRC11:33
*** luaraneda has left #symbiflow11:39
*** Bertl_zZ is now known as Bertl11:40
*** space_zealot has joined #symbiflow11:51
*** galv[m] has quit IRC11:51
*** lromor[m] has quit IRC11:51
*** hzeller[m] has quit IRC11:51
*** heijligen has joined #symbiflow12:05
*** seraxis has joined #symbiflow12:05
*** space_zealot has quit IRC12:38
*** grummel has quit IRC13:39
*** space_zealot has joined #symbiflow13:53
mithroacomdi: Quick - click the merge button! -> https://github.com/SymbiFlow/symbiflow-arch-defs/pull/1267/files14:26
tpbTitle: Add penalty cost switch to avoid wrong routes by acomodi · Pull Request #1267 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)14:26
sf-slack1<acomodi> @mithro Done14:28
mithroLooks like kmurray is back now15:04
*** proteus-guy has quit IRC15:17
*** seraxis has quit IRC15:28
*** seraxis has joined #symbiflow15:32
*** seraxis has quit IRC15:35
*** seraxis has joined #symbiflow15:35
*** seraxis has quit IRC15:36
*** seraxis has joined #symbiflow15:36
*** seraxis has quit IRC15:39
*** seraxis has joined #symbiflow15:40
*** seraxis has quit IRC15:40
*** seraxis has joined #symbiflow15:40
sf-slack1<acomodi> litghost/mithro: By looking at the dcp, placement is kinda spread across many clock regions, while the minitest occupies only one + a little portion of the adjacent clock regions15:43
*** seraxis has quit IRC15:43
*** seraxis has joined #symbiflow15:44
sf-slack1<acomodi> I wonder whether it would be worth adding a way to constrain the placer to use a specific area. I have looked through the code and it should be fairly straightforward15:44
litghostacomodi: The placer has a tuning parameter that changes wirelength vs timing strength15:44
litghostacomodi: You could try switch the placer to a heavier wirelength focus, and see what happens15:44
sf-slack1<acomodi> litghost: Ok, I'll try that, thanks15:45
*** seraxis has quit IRC15:45
*** seraxis has joined #symbiflow15:46
*** freemint has joined #symbiflow15:53
mithroacomodi: What does vivado say now when you back import into it15:59
*** space_zealot has quit IRC16:04
*** seraxis has quit IRC16:05
sf-slack1<acomodi> mithro: so, when I open the dcp it actually says nothing, but I can generate a series of reports I guess16:06
sf-slack1<acomodi> Like power, timing and so on16:06
*** seraxis has joined #symbiflow16:06
*** seraxis has quit IRC16:18
*** seraxis has joined #symbiflow16:18
sf-slack1<acomodi> mithro: here are the reports created from the dcp (util, power and timing) https://file.io/KC2FqI16:21
mithroacomodi: That is a 404 for me16:27
sf-slack1<acomodi> mithro: Ya, this should work: https://filebin.net/0q3nqcvv67vqjymw16:29
tpbTitle: Filebin :: bin 0q3nqcvv67vqjymw (at filebin.net)16:29
*** freemint has quit IRC16:56
*** freemint has joined #symbiflow16:56
mithroacomodi: That timing report appears to be missing a lot of things17:10
*** space_zealot has joined #symbiflow17:10
mithroacomodi: I would expect something that looks more like this -> https://github.com/timvideos/HDMI2USB-firmware-prebuilt/blob/master/archive/master/v0.0.4-584-g2011661/arty/net/vexriscv.linux/gateware/top_timing.rpt17:12
tpbTitle: HDMI2USB-firmware-prebuilt/top_timing.rpt at master · timvideos/HDMI2USB-firmware-prebuilt · GitHub (at github.com)17:12
mithroacomodi: You should see something like https://github.com/timvideos/HDMI2USB-firmware-prebuilt/blob/bf8ee7bf8ec3569980b02ab39c9700bf4b9ce5a9/archive/master/v0.0.4-584-g2011661/arty/net/vexriscv.linux/gateware/top_timing.rpt#L5078-L512017:14
tpbTitle: HDMI2USB-firmware-prebuilt/top_timing.rpt at bf8ee7bf8ec3569980b02ab39c9700bf4b9ce5a9 · timvideos/HDMI2USB-firmware-prebuilt · GitHub (at github.com)17:14
sf-slack1<acomodi> mithro: Yeah, I am trying to get more info there, but it seems to output one path only. The `report_timing_summary`  option outputs something that does not include one single timing information (there are a bunch of N/As) and blank tables17:14
mithroacomodi: https://github.com/timvideos/HDMI2USB-firmware-prebuilt/blob/master/archive/master/v0.0.4-584-g2011661/arty/net/vexriscv.linux/gateware/top.tcl17:15
tpbTitle: HDMI2USB-firmware-prebuilt/top.tcl at master · timvideos/HDMI2USB-firmware-prebuilt · GitHub (at github.com)17:15
mithroacomodi: Are you loading the xdc file?17:16
sf-slack1<acomodi> @mithro: Yep, the design checkpoint includes that17:17
mithroacomodi: Great, just checking...17:19
sf-slack1<acomodi> mithro: I think that we need to include reports extraction during fasm2bels17:19
mithrohrm17:20
mithro?17:20
sf-slack1<acomodi> I mean, when we generate the bitstream from  Vivado through fasm2bels, we need also to output all the possible reports, not only the .dcp, .bit.fasm, and .bit17:21
*** citypw has quit IRC17:36
litghostI believe we might be missing "create_clocks" on some of the interior clocks17:56
litghostWhen I wrote the initial fasm2bels tcl, there was only 1 clock, no PLL, etc17:56
*** Bertl is now known as Bertl_oO18:22
mithroacomodi: So - you need to put the sdc file somewhere18:57
*** freemint has quit IRC19:33
*** lethalbit has quit IRC20:36
*** lethalbit has joined #symbiflow20:37
*** seraxis has quit IRC21:03
*** seraxis has joined #symbiflow21:03
*** nurelin_ has quit IRC21:33
*** nurelin has joined #symbiflow21:34
*** freemint has joined #symbiflow21:46
*** space_zealot has quit IRC22:59
*** _whitelogger has quit IRC23:45
*** _whitelogger has joined #symbiflow23:48

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!