*** tpb <[email protected]> has joined #yosys | 00:00 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 00:00 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 00:02 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 00:13 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 00:16 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 00:22 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 00:25 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 00:40 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 00:41 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 00:52 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 00:53 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 00:57 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 00:58 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 01:06 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 01:08 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 01:14 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 01:15 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 01:20 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 01:31 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 01:36 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 01:37 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 01:44 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 01:45 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 02:00 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 02:04 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 02:11 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 02:21 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 02:30 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 02:32 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 02:48 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 02:50 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 02:56 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 02:59 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 03:04 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 03:05 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 03:11 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 03:13 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 03:18 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 03:19 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 03:25 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 03:35 | |
*** striving <[email protected]> has quit IRC (Ping timeout: 240 seconds) | 03:37 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 04:02 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 04:03 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 04:09 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 04:18 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 04:31 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 04:49 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 04:55 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 04:59 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 05:04 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 05:05 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 05:13 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 05:15 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 05:22 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 05:32 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 05:41 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 05:45 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 05:52 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys | 05:53 | |
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 06:14 | |
*** FabM <FabM!~FabM@2a03:d604:103:600:2e60:8c7c:e8fb:7990> has joined #yosys | 06:23 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 06:25 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 06:30 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 06:32 | |
*** kraiskil <[email protected]> has joined #yosys | 06:33 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 06:39 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 06:40 | |
*** kraiskil <[email protected]> has quit IRC (Ping timeout: 240 seconds) | 06:55 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 06:58 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 07:02 | |
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys | 07:02 | |
*** krispaul <[email protected]> has quit IRC (Ping timeout: 265 seconds) | 07:04 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 07:15 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 07:22 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 07:33 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 07:36 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 07:44 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 07:45 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 07:56 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 08:06 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 08:22 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 08:24 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 08:44 | |
*** lexano <[email protected]> has quit IRC (Ping timeout: 256 seconds) | 08:44 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 08:45 | |
*** lexano <[email protected]> has joined #yosys | 08:57 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 09:15 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 09:19 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 09:24 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 09:25 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 09:32 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 10:01 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 10:22 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 10:26 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 10:34 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 10:35 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 10:42 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 10:44 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 10:50 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 10:51 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 11:02 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 11:10 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 11:18 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 11:20 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 11:28 | |
*** kraiskil <[email protected]> has joined #yosys | 11:28 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 11:29 | |
*** kraiskil <[email protected]> has quit IRC (Ping timeout: 250 seconds) | 11:33 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 11:36 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 11:40 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 11:56 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 12:00 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 12:06 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 12:10 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 12:17 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 12:35 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 12:48 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 12:51 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 13:18 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 13:20 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 13:28 | |
*** kraiskil <[email protected]> has joined #yosys | 13:28 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 13:29 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 13:34 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 13:35 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 13:47 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 13:49 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 13:55 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 13:58 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 14:12 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 14:16 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 14:22 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 14:25 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 14:32 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 14:36 | |
*** kraiskil <[email protected]> has quit IRC (Ping timeout: 250 seconds) | 14:47 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 14:50 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 14:52 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 15:00 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 15:01 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 15:14 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 15:16 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 15:26 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 15:29 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 15:33 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 15:34 | |
*** sugarbeet <[email protected]> has quit IRC (Ping timeout: 250 seconds) | 15:36 | |
*** sugarbeet <[email protected]> has joined #yosys | 15:37 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 15:46 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 15:49 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 16:06 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 16:10 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 16:16 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 16:19 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 16:41 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 16:43 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 16:48 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 16:51 | |
*** kraiskil <[email protected]> has joined #yosys | 16:54 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 16:58 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 17:01 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 17:11 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 17:15 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 17:27 | |
corecode | i wonder what this is about: | 17:28 |
---|---|---|
corecode | chip = pytrellis.Chip(dev_names[args.device]) | 17:28 |
corecode | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ | 17:28 |
corecode | RuntimeError: No such node (row_bias) | 17:28 |
corecode | 17:28 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 17:31 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 17:37 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 17:48 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 17:55 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 17:58 | |
lofty | corecode: have you updated your prjtrellis-db? | 18:00 |
lofty | That sounds to me like libtrellis and prjtrellis-db aren't in sync | 18:00 |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 18:10 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 18:13 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 18:19 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 18:23 | |
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 265 seconds) | 18:24 | |
*** kraiskil <[email protected]> has quit IRC (Ping timeout: 248 seconds) | 18:27 | |
*** bjorkint0sh <bjorkint0sh!~bjork@2600:1700:5400:c80:9840:c18a:73cb:3f9d> has joined #yosys | 18:27 | |
*** bjorkintosh <bjorkintosh!~bjork@user/bjorkintosh> has quit IRC (Ping timeout: 248 seconds) | 18:30 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 18:30 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 18:31 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 18:37 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 18:41 | |
*** bjork1intosh <bjork1intosh!~bjork@2600:1700:5400:c80:9840:c18a:73cb:3f9d> has joined #yosys | 18:44 | |
*** bjorkint0sh <bjorkint0sh!~bjork@2600:1700:5400:c80:9840:c18a:73cb:3f9d> has quit IRC (Ping timeout: 256 seconds) | 18:45 | |
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::17> has joined #yosys | 18:47 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 18:47 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 18:51 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 19:02 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 19:06 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 19:12 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 19:15 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 19:22 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 19:26 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 19:31 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 19:35 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 19:40 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 19:41 | |
corecode | thanks | 19:46 |
corecode | that might be it | 19:46 |
corecode | i'm so confused why arch has prjtrellis in extra, but nextpnr is in aur | 19:47 |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 19:47 | |
xiretza[m] | there used to be a non-git nextpnr in the repos | 19:47 |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 19:48 | |
corecode | what happened? | 19:54 |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 19:55 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 19:59 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 20:04 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 20:21 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 20:28 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 20:29 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 20:34 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 20:35 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 20:41 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 20:44 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 21:11 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 21:13 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 21:22 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 21:26 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 21:32 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 21:36 | |
so-offish | Does yosys not support functions that return a real value? | 21:37 |
so-offish | module func_real_test(); | 21:43 |
so-offish | localparam real a_value = rpow2(10.0); | 21:43 |
so-offish | function real rpow2; | 21:43 |
so-offish | input real inval; | 21:43 |
so-offish | rpow2 = inval * inval; | 21:43 |
so-offish | endfunction // rpow2 | 21:43 |
so-offish | 21:43 | |
so-offish | 21:43 | |
so-offish | initial begin | 21:43 |
so-offish | $display("rpow2(10.0):%f",a_value); | 21:43 |
so-offish | end | 21:43 |
so-offish | endmodule | 21:43 |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 21:58 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 21:59 | |
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.) | 22:13 | |
*** nonchip <[email protected]> has joined #yosys | 22:13 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 22:23 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 22:31 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 22:37 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 22:38 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 22:47 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 22:50 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 23:02 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 23:05 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 23:12 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 23:12 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 23:18 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 23:21 | |
jleightcap | is there a recommended method for distributing .ys scripts? | 23:22 |
jleightcap | e.g. the same script with a different set of HDL input and -I dirs | 23:23 |
jleightcap | rather than hard-coding inputs at the head of a `read_verilog` line | 23:23 |
jleightcap | maybe best to just use TCL in this case, although i'm not familiar :-) | 23:24 |
lofty | jleightcap: yosys scripts passed with `-s` are processed after files on the command line | 23:24 |
lofty | so, instead of having `read_verilog` lines in your script, pass those files on the command line | 23:25 |
jleightcap | ah yeah! that worked great, the only wrinkle i had was passing `-I` dirs for includes | 23:26 |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 23:27 | |
jleightcap | maybe like: `yosys -s synth.ys -p 'read_verilog -Ifoo $SRC'` | 23:28 |
jleightcap | but the script processes before the -p command it seems | 23:28 |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 23:28 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 23:45 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 23:46 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 23:52 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 23:55 |
Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!