*** tpb <[email protected]> has joined #yosys | 00:00 | |
*** |{ame <|{ame!~|{[email protected]> has joined #yosys | 00:09 | |
|{ame | hello, why does this | 00:10 |
---|---|---|
|{ame | module laspi(clk,leds); | 00:10 |
|{ame | input clk; | 00:10 |
|{ame | output [7:0] leds; | 00:10 |
|{ame | reg [23:0] counter; | 00:10 |
|{ame | always @(posedge clk) | 00:10 |
|{ame | begin | 00:10 |
|{ame | counter<=counter+1; | 00:10 |
|{ame | end | 00:10 |
|{ame | assign leds[7:0]=counter[23:16]; | 00:10 |
|{ame | endmodule | 00:10 |
|{ame | give the following warning? | 00:10 |
|{ame | ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). | 00:10 |
|{ame | (yosys compiled from git) | 00:11 |
so-offish | I finally got a workstation in for all things FOSS FPGA | 00:21 |
so-offish | So happy. | 00:21 |
*** so-offishul <[email protected]> has joined #yosys | 00:27 | |
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::11> has quit IRC (Ping timeout: 246 seconds) | 00:31 | |
jevinskie[m] | <lofty> "It also helps if your toolchain..." <- Reminds me, I promised someone else this week I’d do an automatic decompilation of the Quartus binaries and spit out all the option names passed to calls to cfg_* | 00:47 |
*** |{ame <|{ame!~|{[email protected]> has quit IRC (Quit: Client closed) | 04:21 | |
*** |{ame <|{ame!~|{[email protected]> has joined #yosys | 04:54 | |
|{ame | on ice40-hx8k, how do you enable pull ups? | 04:55 |
cr1901 | I think you have to instantiate an SB_IO directly, and enable the pullup parameter | 04:56 |
|{ame | is it a block for each input pin? | 05:06 |
|{ame | I verified this works http://joshhead.net/posts/icestorm-notes.html | 05:06 |
tpb | Title: Josh Headapohl (at joshhead.net) | 05:06 |
cr1901 | Yes, needs to be done for each pin that you want to enable the pullup | 05:15 |
|{ame | thank you! | 05:19 |
tnt | you can also set -pullup yes in the PCF. | 06:15 |
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Read error: Connection reset by peer) | 07:01 | |
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys | 07:02 | |
lofty | |{ame: the ABC warning is harmless; ignore it | 07:32 |
lofty | (the real answer is that Yosys hasn't passed any flops to ABC, but calls a sequential optimisation pass) | 07:33 |
|{ame | tnt: thanks,that worked | 08:02 |
|{ame | lofty: thank you | 08:03 |
lofty | |{ame: which Yosys flow are you using, by the way? | 08:03 |
|{ame | git version | 08:03 |
|{ame | with a ice board | 08:04 |
|{ame | Yosys 0.29+11 (git sha1 d82bae32b, gcc 11.3.0-1ubuntu1~22.04 -fPIC -Os) | 08:04 |
lofty | You should consider using `synth_ice40 -abc9` | 08:04 |
lofty | Maybe with `-dff` as well | 08:04 |
|{ame | I am now using yosys -p "read_verilog -noautowire $(SOURCES) " -p "synth_ice40 -blif $@" | 08:05 |
lofty | |{ame: there's a more idiomatic way of doing that, but sure | 08:12 |
lofty | You should add `-abc9 -dff` to the `synth_ice40` call | 08:13 |
|{ame | what's the proper way? I just cobbled up a makefile, but I'd love to do things the proper way if there's one | 08:13 |
tnt | more importantly the -blif output makes me think you still use arachne-pnr ? | 08:14 |
|{ame | yes,I do | 08:14 |
|{ame | arachne-pnr -d 8k -p $(CONSTRAINT) -P ct256 $< -o $@ | 08:15 |
lofty | Yeah, no, you should use nextpnr | 08:15 |
lofty | arachne-pnr has been dead for years | 08:15 |
lofty | |{ame: if you just pass source files on the command line, Yosys will default to calling `read` on those files | 08:16 |
lofty | So you can do `yosys -p "synth_ice40 -abc9 -dff -blif $@" $(SOURCES)` | 08:16 |
lofty | But yes, you should use nextpnr; it's so much faster, and it's also maintained | 08:17 |
|{ame | thanks guys,I switched to it, and, indeed, for one case in which arachne could not route, nextpnr worked without problems | 08:22 |
lofty | It's also a lot faster, isn't it? :P | 08:23 |
|{ame | it is, no more route passes filling the compilation buffer! | 08:23 |
lofty | I mean, nextpnr is still kind of noisy, but it's fine :p | 08:24 |
*** schaeg <schaeg!~anabrid@2001:638:904:ffc8:532a:ec2d:52c2:1930> has joined #yosys | 09:05 | |
*** |{ame <|{ame!~|{[email protected]> has quit IRC (Remote host closed the connection) | 10:18 | |
*** |{ame <|{ame!~|{[email protected]> has joined #yosys | 10:21 | |
*** |{ame <|{ame!~|{[email protected]> has quit IRC (Quit: Client closed) | 11:14 | |
*** Guest66 <[email protected]> has joined #yosys | 11:30 | |
Guest66 | hello how to remove assign sentence? | 11:30 |
Guest66 | How to eliminate "assign" after synthesis? | 11:42 |
Guest66 | How to eliminate "assign" after synthesis? | 12:27 |
xiretza[m] | asking once is enough, if someone has an answer they'll tell you | 12:28 |
Guest66 | sorry | 12:32 |
*** philtor <[email protected]> has quit IRC (Ping timeout: 268 seconds) | 13:05 | |
Guest66 | 9.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). | 13:13 |
Guest66 | ERROR: FF AsyncQueueSink.$auto$ff.cc:266:slice$652898 (type $_DFF_PP0_) cannot be legalized: dffs with async set or reset are not supported | 13:13 |
Guest66 | how to solve it? | 13:13 |
tnt | Don't use async FF ... it's not supported in whatever technology you're trying to use obviously. | 13:22 |
*** Guest66 <[email protected]> has quit IRC (Quit: Client closed) | 13:22 | |
*** so-offish1 <so-offish1!~so-offish@2610:148:610:2b11::17> has joined #yosys | 15:10 | |
*** so-offishul <[email protected]> has quit IRC (Ping timeout: 240 seconds) | 15:13 | |
*** so-offish1 <so-offish1!~so-offish@2610:148:610:2b11::17> has quit IRC (Client Quit) | 15:14 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Remote host closed the connection) | 15:41 | |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys | 15:46 | |
*** philtor <[email protected]> has joined #yosys | 16:07 | |
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::17> has joined #yosys | 16:13 | |
so-offish | jevinskie[m]: What are you using for decompilation and how do I find out more about that | 16:15 |
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds) | 16:29 | |
*** kraiskil <[email protected]> has joined #yosys | 17:26 | |
*** kraiskil <[email protected]> has quit IRC (Ping timeout: 240 seconds) | 19:13 | |
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.) | 22:12 | |
*** nonchip <[email protected]> has joined #yosys | 22:12 | |
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:6198:7d26:5f37:f25a> has quit IRC (Quit: Leaving) | 22:24 | |
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:cc09:34c3:7b50:cf94> has joined #yosys | 22:26 | |
*** dormito <dormito!~dormito@user/dormito> has quit IRC (Ping timeout: 256 seconds) | 22:40 | |
*** dormito <dormito!~dormito@user/dormito> has joined #yosys | 22:42 | |
*** schaeg <schaeg!~anabrid@2001:638:904:ffc8:532a:ec2d:52c2:1930> has quit IRC (Ping timeout: 240 seconds) | 22:55 | |
*** jn <jn!~quassel@user/jn/x-3390946> has quit IRC (Remote host closed the connection) | 23:07 | |
*** jn <jn!~quassel@user/jn/x-3390946> has joined #yosys | 23:08 | |
*** |{ame <|{ame!~|{[email protected]> has joined #yosys | 23:28 |
Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!