Thursday, 2023-03-30

*** tpb <[email protected]> has joined #yosys00:00
*** bl0x <[email protected]> has joined #yosys01:16
*** bl0x_ <bl0x_!~bl0x@p200300d7a709bc0000c9c661014264bc.dip0.t-ipconnect.de> has quit IRC (Ping timeout: 265 seconds)01:17
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys01:37
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 255 seconds)02:09
*** somlo <[email protected]> has quit IRC (Read error: Connection reset by peer)06:03
*** somlo_ <[email protected]> has joined #yosys06:03
*** krispaul <[email protected]> has joined #yosys07:02
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 250 seconds)07:03
*** markov_twain <markov_twain!~markov_tw@user/markov-twain/x-1620001> has joined #yosys07:42
*** josuah <[email protected]> has quit IRC (Remote host closed the connection)09:35
*** josuah <[email protected]> has joined #yosys09:35
*** lambda <[email protected]> has quit IRC (Ping timeout: 255 seconds)09:36
*** lambda <[email protected]> has joined #yosys10:19
*** lambda <[email protected]> has quit IRC (Ping timeout: 248 seconds)10:40
*** lambda <[email protected]> has joined #yosys11:02
*** Guest67 <[email protected]> has joined #yosys11:37
Guest67hey how to use yosys on ubuntu 22.0411:38
Guest67i want to install yosys on my system11:38
*** Guest67 <[email protected]> has quit IRC (Client Quit)11:40
josuahGuest67 was not patient enough... too bad, next time!11:43
*** somlo_ is now known as somlo12:07
bl0xIs there an implementation of yosys and nextpnr for a simple toy FPGA? Just to learn how things are working together?12:24
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys12:38
*** markov_twain <markov_twain!~markov_tw@user/markov-twain/x-1620001> has quit IRC (Read error: Connection reset by peer)13:45
*** krispaul <[email protected]> has quit IRC (Quit: WeeChat 2.3)14:17
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys14:17
*** so-offishul <[email protected]> has joined #yosys15:12
*** so-offish1 <so-offish1!~so-offish@2610:148:610:2b11::18> has joined #yosys15:13
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::14> has quit IRC (Ping timeout: 246 seconds)15:15
*** so-offishul <[email protected]> has quit IRC (Ping timeout: 265 seconds)15:17
*** so-offish1 <so-offish1!~so-offish@2610:148:610:2b11::18> has quit IRC (Quit: Leaving)15:21
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys15:27
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Remote host closed the connection)15:43
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys15:45
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 255 seconds)16:04
*** markov_twain <markov_twain!~markov_tw@user/markov-twain/x-1620001> has joined #yosys16:05
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::18> has joined #yosys16:07
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 255 seconds)16:24
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys16:27
cr1901bl0x: nextpnr-generic comes with a toy FPGA arch to play around with, but at present, you can't actually synthesize the toy FPGA on an FPGA :(16:52
cr1901That would be kinda fun tho...16:52
cr1901You don't need toy-FPGA-arch-specific code in yosys because all the arch-specific code can be provided as arguments to generic yosys passes (synth, techmap, etc)16:54
bl0xcr1901: thanks, I'll take a look though.17:05
*** lambda <[email protected]> has quit IRC (Ping timeout: 260 seconds)17:46
*** lambda <[email protected]> has joined #yosys17:48
*** strobo <[email protected]> has quit IRC (Ping timeout: 248 seconds)18:04
*** sugarbeet <[email protected]> has joined #yosys21:40
*** sugarbeet <[email protected]> has quit IRC (Quit: Reconnecting)21:54
*** sugarbeet <[email protected]> has joined #yosys21:54
*** sugarbeet <[email protected]> has quit IRC (Client Quit)21:57
*** sugarbeet <[email protected]> has joined #yosys21:57
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:10
*** nonchip <[email protected]> has joined #yosys22:10
*** bjorkintosh <bjorkintosh!~bjork@user/bjorkintosh> has quit IRC (Quit: Leaving)22:31
*** bjorkintosh <bjorkintosh!~bjork@2600:1700:5400:c80:1481:2b90:9bee:a3dd> has joined #yosys23:52

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!