Wednesday, 2021-11-24

*** tpb <[email protected]> has joined #symbiflow00:00
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 276 seconds)01:39
*** gwe <[email protected]> has quit IRC (Ping timeout: 246 seconds)02:48
*** gwe <[email protected]> has joined #symbiflow02:48
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #symbiflow03:17
cr1901Wow, the uhdm plugin is next-to-impossible to compile locally04:44
cr1901I give up... I hope I don't need it04:44
cr1901can't find uhdm, can't find surelog, recompile with -fPIC04:45
cr1901Ahhh finally... need to pass -DCMAKE_POSITION_INDEPENDENT_CODE=ON to Surelog and use Surelog's copy of UHDM05:00
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 276 seconds)05:32
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)09:18
*** TMM_ <[email protected]> has joined #symbiflow09:18
sf-slack<tgorochowik> cr1901: we use this integration repo to build everything: https://github.com/antmicro/yosys-uhdm-plugin-integration it contains all the dependencies as submodules and has a build script that puts everything together09:29
sf-slack<tgorochowik> a proper readme/manual is needed though! we certainly plan to write one09:29
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #symbiflow14:29
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 276 seconds)15:18
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #symbiflow15:27
cr1901tgorochowik: For development purposes it's easier if I use my own personal copy of yosys and have the plugins be loaded by my copy/branch16:18
cr1901However, this didn't go to plan b/c I managed to make dram_test_64x1d_bit segfault16:19
cr1901My copy of yosys isn't that far off the conda copy... is the conda copy patched in some way that's not upstream?16:19
cr1901But I did manage to get it compiled/wrote it down16:21
sf-slack<tgorochowik> yes and no, we do have a patched yosys that adds some new features, however the plugin should also work with mainline yosys (to which we also contribute things we need for better systemverilog support)16:22
sf-slack<mkurc> cr1901: The version string of the conda package contains SHA of the git revision. I'm not aware of any changes to it made during packaging.16:22
sf-slack<tgorochowik> what is important though is that you use compatible surelog/uhdm/plugin repos, this flow is still under heavy development so if you take everything from mainline it may not work - that's why the integration repo holds proper submodules with those16:23
cr1901I don't think the uhdm plugin is crashing16:24
cr1901Something's not right on my end, hmmm...16:25
sf-slack<tgorochowik> we'll be happy if you file a bug in case you find any issues with the plugin or yosys with the plugin, we'll take a look at it then to help you push it forward16:26
cr1901I can do that, will have to minimize first tho :D16:26
sf-slack<tgorochowik> but just to be on the safe side, you can use the repo I mentioned above, the script has a --skip-yosys arg (https://github.com/antmicro/yosys-uhdm-plugin-integration/blob/master/build_binaries.sh#L11) meant specifically for a use-case similar to yours (externally provided yosys)16:27
cr1901""make dram_test_64x1d_bin" with my own copy of yosys and plugins crashes" isn't exactly helpful16:28
cr1901tgorochowik: Ack: re skip-yosys16:28
cr1901The only thing that's different from my install so far is I pass BUILD_UPSTREAM=1 to the plugin16:29
cr1901because BUILD_UPSTREAM=0 assumes yosys installs frontend/verilog/verilog_frontend.h to the dest, and my copy doesn't16:30
sf-slack<tgorochowik> yeah, the build process is quite simple, but the important thing are the versions of the submodules16:30
cr1901(and forcing it to be installed gets errors like "the class member 'is_packed' isn't found"16:30
sf-slack<mkurc> cr1901: Could you explain how you are running the "dram_test_64x1d_bin" test? Where does it come from?16:39
cr1901I built symbiflow by running "make env" with the following environment variables set (h/o):16:41
cr1901http://gopher.wdj-consulting.com:70/paste/1d361514-1404-47e6-9e45-e844e1bbcb10.txt16:41
cr1901make CMAKE_FLAGS="-GNinja \16:42
cr1901        -DTRELLIS_LIBDIR=/home/william/Projects/FPGA/prjtrellis/libtrellis \16:42
cr1901        -DTRELLIS_DATADIR=/home/william/Projects/FPGA/prjtrellis \16:42
cr1901        -DYOSYS_DATADIR=/home/william/Projects/FPGA/yosys/share" env16:42
cr1901Yosys 0.11+47 (git sha1 113c94384, sccache gcc 9.3.0-17ubuntu1~20.04 -fPIC -Os)16:42
cr1901After conda is finished being set up via make env, I cd to my build/ directory and run16:43
cr1901ninja -j4 dram_test_64x1d_bit (sic... sorry I typo'd)16:44
cr1901Eventually, after most of the build runs successfully, yosys will die with a segfault: http://gopher.wdj-consulting.com:70/paste/e5174237-0957-4424-b3d3-5b203262cba6.txt16:45
sf-slack<mkurc> cr1901: So you basically running the test from symbiflow-arch-defs but you are "injecting" a locally build Yosys binary.16:45
sf-slack<mkurc> I don't think that the way you run that actually uses surelog/UHDM16:45
cr1901mkurc: Correct. I may need to do dev against my machxo2 yosys branch16:45
cr1901AHhh I see, well... still a bug :P?16:46
sf-slack<mkurc> Maybe it is a problem with the yosys revision being incompatible with symbiflow-arch-defs16:47
sf-slack<mkurc> The revision used on master (conda pkg) is yosys=0.11_7_g4bf8deacb=20211005_122659_py3716:47
cr1901Rebuilding/reconf'ing now17:00
cr1901No, that revision of yosys still fails... what version of yosys-plugins are used?17:03
*** tpb_ <[email protected]> has joined #symbiflow17:08
*** tpb <[email protected]> has quit IRC (Killed (NickServ (GHOST command used by tpb_)))17:08
*** tpb_ is now known as tpb17:08
*** gwe_ <[email protected]> has joined #symbiflow17:10
sf-slack<mkurc> All the revisions are defined in this file https://github.com/SymbiFlow/symbiflow-arch-defs/blob/master/conda_lock.yml17:13
sf-slack<mkurc> The plugins are in yosys-symbiflow-plugins.17:13
cr1901Nope still fails w/ correct yosys version and correct plugin version17:15
cr1901Fabulous... wonder if yosys or the plugins are being miscompiled on my end17:15
cr1901I'm deliberately not doing a clean build b/c I'm not waiting 20 minutes for the channels to be generated each time17:16
*** gwe <[email protected]> has quit IRC (*.net *.split)17:16
*** tcal <[email protected]> has quit IRC (*.net *.split)17:16
*** tcal <[email protected]> has joined #symbiflow17:22
cr1901I created a build dir where I inject nothing except "things required for my branch which should have absolutely no effect on the build", and we'll see what happens17:30
cr1901Generating channels now... See you in 30 mins :P17:30
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)18:33
*** TMM_ <[email protected]> has joined #symbiflow18:33
sf-slack<nelson> Working on the techmapper for MMCME2_ADV, did a clean checkout of symbiflow-arch-defs, did a ‘make env’ and got a new error haven’t seen before.  Anyone know if this is due to a change in one of the dependencies?  `Submodule path 'third_party/symbiflow-xc-fasm2bels/third_party/prjxray/third_party/yosys': checked out '54294957ed15d954c69c2722028209077cf91cfe'` Submodule path18:41
sf-slack‘third_party/symbiflow-xc-fasm2bels/third_party/prjxray-db’: checked out ‘8372b58fe62c67f8f5896d78cd1611d01621dfae’ Submodule path ‘third_party/symbiyosys’: checked out ‘091222b87febb10fad87fcbe98a57599a54c5fd3’ Submodule path ‘third_party/vtr-xml-utils’: checked out ‘eebb820539465870124d1140b30d56f6f273a24c’ Submodule path ‘third_party/xc-fasm’: checked out18:41
sf-slack‘14afc2bae24cbf6ee5e7d057a58b4cbd776358d0’ Unable to checkout ‘a598aa2d3c5157d33d6a7aa2abab609f592ea1a8’ in submodule path ‘third_party/qlfpga-symbiflow-plugins’ Makefile:10: third_party/make-env/conda.mk: No such file or directory make: *** [Makefile:8: third_party/make-env/conda.mk] Error 118:41
sf-slack<timo.callahan> Which symbiflow repo has the wrapper scripts (e.g. symbiflow_route)?   I need to file an issue :)20:11
sf-slack<kgugala> arch-defs20:11
sf-slack<timo.callahan> @kgugala thanks!20:12
*** lopsided98_ <lopsided98_!~quassel@2601:18a:0:85e0:ba27:ebff:fe5e:6b6e> has joined #symbiflow21:15
*** lopsided98 <lopsided98!~quassel@2601:18a:0:85e0:a31f:c5fa:860f:f5e8> has quit IRC (Ping timeout: 250 seconds)21:17
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 276 seconds)22:03
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #symbiflow23:52

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!