Wednesday, 2021-01-20

*** tpb has joined #yosys00:00
*** aquijoule_ has joined #yosys00:07
*** aquijoule__ has quit IRC00:10
*** jfcaron has joined #yosys00:46
*** lf has quit IRC01:02
*** lf_ has joined #yosys01:02
*** mwk has quit IRC01:11
*** citypw has joined #yosys01:56
*** aquijoule__ has joined #yosys01:59
*** aquijoule_ has quit IRC02:01
*** FFY00 has quit IRC02:23
*** mwk has joined #yosys02:23
*** FFY00 has joined #yosys02:23
*** FFY00 has quit IRC03:00
*** FFY00 has joined #yosys03:01
*** FFY00 has quit IRC03:02
*** FFY00 has joined #yosys03:03
*** FFY00 has quit IRC03:04
*** FFY00 has joined #yosys03:05
*** modwizcode has quit IRC03:05
*** GenTooMan has joined #yosys03:17
*** sorki has joined #yosys03:31
*** srk has quit IRC03:32
*** sorki is now known as srk03:34
*** Degi_ has joined #yosys03:58
*** aquijoule_ has joined #yosys04:00
*** Degi has quit IRC04:00
*** Degi_ is now known as Degi04:00
*** aquijoule__ has quit IRC04:02
*** blunaxela has joined #yosys04:59
*** blunaxela has joined #yosys05:01
*** jfcaron has quit IRC05:01
*** Sarayan has quit IRC05:31
*** _whitelogger has quit IRC06:00
*** _whitelogger has joined #yosys06:02
awyglei can't trick nmigen/nextpnr into letting me route the ecp5 memory phy. if i connect the DSI input of DQSBUFM, the Q output of TSHX2DQSA, and the Q output of ODDRX2DQSB to the pin directly, i get a multiple driver error, but if i try to put a BB bidirectional buffer in the obvious place, i get "DQSI must be connected to a top-level input"06:17
awyglewhat am i doing wrong here?06:17
*** citypw has quit IRC06:38
awygleoh my god i needed to switch the I and the O of the BB06:50
*** vidbina_ has joined #yosys06:57
*** cr1901_modern has quit IRC07:14
*** vidbina_ has quit IRC07:33
mangelisi wonder why yosys sometimes synthesizes $_SDFF_PP1_ cells? according to https://github.com/YosysHQ/yosys/blob/5aa35b8992fab8b55c1c1fae793b4ad845fd4c4c/techlibs/common/simcells.v#L2076 they could be just represented with a lut followed by a flipflop07:39
mangelisi mean, what's the benefit of having such primitive?08:03
*** xtro has quit IRC08:07
*** tpb has joined #yosys08:39
*** rrika has quit IRC08:40
*** rrika has joined #yosys08:40
*** vidbina_ has joined #yosys08:59
*** minicom has quit IRC09:17
*** vancz has quit IRC09:17
*** minicom7 has joined #yosys09:18
*** miek has quit IRC09:18
*** vancz has joined #yosys09:20
*** miek has joined #yosys09:20
*** citypw has joined #yosys10:08
*** kraiskil has joined #yosys10:10
*** kraiskil has quit IRC10:15
*** mancaus has joined #yosys11:29
*** mancaus has quit IRC11:52
*** minicom7 is now known as minicom11:53
*** nick_ has joined #yosys11:57
nick_Hi, I just got a simple project running on an ICE40-HX8K breakout board11:58
nick_How can I tell the utilisation of the FPGA?11:58
tntread the nextpnr report ?12:25
tntsomewhat early, before placing, it will print the packing results with resource usage.12:26
* nick_ found icebox_stat which I assume is half of what I was looking for12:38
*** blackbit has left #yosys12:59
*** mancaus has joined #yosys13:42
*** mancaus has quit IRC13:56
*** modwizcode has joined #yosys14:00
*** mancaus has joined #yosys15:09
*** emeb has joined #yosys15:18
Loftynick_: really, nextpnr tells you the utilisation information15:32
*** jakobwenzel has joined #yosys15:38
*** cr1901_modern has joined #yosys16:02
*** cr1901_modern has quit IRC16:20
*** s_frit has quit IRC16:29
*** s_frit has joined #yosys16:30
*** Xark has joined #yosys16:49
*** tmeissner has joined #yosys17:00
*** tmeissner has quit IRC17:01
*** jakobwenzel has quit IRC18:38
*** kraiskil has joined #yosys18:47
*** xtro has joined #yosys18:47
*** X-Scale` has joined #yosys18:55
*** X-Scale has quit IRC18:55
*** X-Scale has joined #yosys18:59
*** X-Scale` has quit IRC19:01
*** X-Scale` has joined #yosys19:03
*** X-Scale has quit IRC19:04
*** X-Scale` is now known as X-Scale19:04
*** SpaceCoaster has quit IRC19:49
*** X-Scale` has joined #yosys20:39
*** X-Scale has quit IRC20:41
*** rrika has quit IRC20:41
*** X-Scale` is now known as X-Scale20:41
*** rrika has joined #yosys20:42
*** kraiskil has quit IRC21:29
*** jfcaron has joined #yosys23:27
*** vidbina_ has quit IRC23:39

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!