Sunday, 2020-08-16

*** tpb has joined #yosys00:00
*** kristianpaul has quit IRC00:01
*** kristianpaul has joined #yosys00:06
*** Degi has quit IRC00:18
*** Degi has joined #yosys00:19
*** az0re has joined #yosys00:24
*** kristianpaul has quit IRC00:43
*** kristianpaul has joined #yosys00:55
*** X-Scale has quit IRC01:43
*** X-Scale` has joined #yosys01:46
*** X-Scale` is now known as X-Scale01:47
*** emeb has quit IRC02:00
*** emeb_mac has quit IRC02:00
*** emeb_mac has joined #yosys02:01
*** emeb has joined #yosys02:03
*** _whitelogger has quit IRC02:24
*** _whitelogger has joined #yosys02:26
*** citypw has joined #yosys02:27
*** emeb has quit IRC02:48
*** kmehall has joined #yosys02:52
*** _whitelogger has quit IRC03:09
*** _whitelogger has joined #yosys03:11
*** _whitelogger has quit IRC04:09
*** _whitelogger has joined #yosys04:11
*** kristianpaul has quit IRC04:30
*** ric96 has joined #yosys04:34
ric96daveshah: does prjxray support loading data into bram rom the same way as icestorm using readmemb?04:36
*** kristianpaul has joined #yosys05:05
*** kristianpaul has quit IRC05:23
*** kristianpaul has joined #yosys05:27
*** kristianpaul has quit IRC05:42
*** strongsaxophone has joined #yosys06:11
*** kraiskil has joined #yosys06:37
*** emeb_mac has quit IRC06:46
*** kristianpaul has joined #yosys06:56
*** Asu has joined #yosys07:34
*** kraiskil has quit IRC08:00
*** _whitelogger has quit IRC08:18
*** _whitelogger has joined #yosys08:20
*** kraiskil has joined #yosys08:31
*** xtro has quit IRC08:32
*** markus-k has joined #yosys09:37
*** kristianpaul has quit IRC11:05
*** kristianpaul has joined #yosys11:10
*** _whitelogger has quit IRC11:24
*** unkraut has quit IRC11:24
*** unkraut has joined #yosys11:26
*** _whitelogger has joined #yosys11:26
somloI'm trying to build a rocket-based litex soc for ecp5 with yosys/trellis/nextpnr, and I'm having problems with abc9: https://pastebin.com/vRPXwWbd14:13
tpbTitle: 8.47.18.6. Executing AIGER frontend./usr/include/c++/10/bits/stl_vector.h:1045 - Pastebin.com (at pastebin.com)14:13
daveshahHuh, that looks pretty horrible to debug14:14
daveshahas it is a problem in the AIGER frontend, it could either be a frontend bug, or at least partially an issue in what ABC is producing14:14
somlousing upstream abc 448f263 with https://github.com/berkeley-abc/abc/pull/89 (which used to cause abc itself to crash during the abc9 run)14:14
tpbTitle: Skip the body of Gia_LutForEachFanin if iFan is negative. by jamesjer · Pull Request #89 · berkeley-abc/abc · GitHub (at github.com)14:14
daveshahcan you get a full backtrace?14:15
somlodaveshah: is there an easy way to do that (I'm running everything through layers of litex build scripts)14:16
daveshahOn arch, I would run coredumpctl gdb14:16
somlodaveshah: had to add myself to the14:24
somloadm group to be able to do that; I'm re-running the build and will try again14:25
daveshahcan't it open the old core dump from the previous failure?14:28
somloI probably ran a bunch of other stuff in other ssh windows on the same machine since then, so at this point I want to make sure I get the right one :)14:30
daveshahah14:30
somlodaveshah: hehe, now it worked, but I apparently haven't installeed the yosys debuginfo package (fedora strips those out and makes them into an optional add-on)14:51
somloso I'll rinse and repeat, and report back once I have something more useful :)14:51
*** strongsaxophone has quit IRC15:12
*** emeb has joined #yosys15:43
*** citypw has quit IRC17:09
somlodaveshah: http://mirror.ini.cmu.edu/gls-yosys-bt.txt17:30
somlotook a while to get the tooling all set up, but here it is...17:31
daveshahUnfortunately, I can't see an obvious reason why the xaiger code would fail like that17:47
daveshahI think it would be best to create a GH issue17:47
*** xtro has joined #yosys17:48
somlodaveshah: thanks, will do -- should be straightforward now that I have the actual backtrace to go with it :)19:12
somlowell, straightforward modulo collecting all the verilog files and zipping them up to provide the required MCVE reproducer :) But ultimately doable...19:18
daveshahI would recommend adding the abc files from /tmp/yosys-abc-something, too19:25
somlothanks, good point, will do. Of course the situation isn't helped by the fact that I'm running a patched abc (https://github.com/berkeley-abc/abc/issues/84) to get around another bug I've run into (also during abc9) a few weeks ago19:30
tpbTitle: double free or corruption error during yosys abc9 techmap pass · Issue #84 · berkeley-abc/abc · GitHub (at github.com)19:30
somlothat bug btw is most likely present in the "vendored" abc used by yosys as well19:31
*** kraiskil has quit IRC20:12
*** kristianpaul has quit IRC20:27
somlodaveshah: https://github.com/YosysHQ/yosys/issues/2343 (and thanks again for the assistance collecting all the material)20:31
tpbTitle: xaiger failure during abc9 pass · Issue #2343 · YosysHQ/yosys · GitHub (at github.com)20:31
*** emeb_mac has joined #yosys20:39
*** emeb_mac has quit IRC21:24
*** emeb_mac has joined #yosys21:25
*** Asu has quit IRC22:11
*** indy has quit IRC22:57
*** emeb_mac has quit IRC23:00
*** emeb_mac has joined #yosys23:01
*** emeb has quit IRC23:01
*** emeb has joined #yosys23:04
*** lf has quit IRC23:07
*** lf_ has joined #yosys23:08
*** indy has joined #yosys23:08
*** emeb has quit IRC23:16
*** emeb has joined #yosys23:16
*** kristianpaul has joined #yosys23:33
*** xtro has quit IRC23:34

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!