Friday, 2020-05-15

*** tpb has joined #yosys00:00
mwkohhh00:03
mwkokay00:03
mwkI see what's wrong..00:03
mwktux3: you can stop bisecting now, I understand the bug00:03
tux3mwk, okay. thanks!00:04
tux3I don't have a repro, but do you still want me to open an issue for it?00:04
mwk*sigh* fuck verilog, seriously00:04
mwkno, I'll take care of it00:04
tux3thanks00:05
mwkwant a quick & dirty fix that probably leaves like 100 other instances of the same problem unfixed?00:07
tux3sure, why not00:07
mwktry out the mwk/fix-width-0 branch00:08
mwk(beware: it's untested)00:09
tux3oh wow, that commit is a little frightening. I did not know that was necessary ....00:10
mwkit was not necessary before, because of a bug in verilog frontend00:11
tux3ah00:11
mwkhere's a little problem00:11
mwkyou cannot have a 0-width wire in verilog00:11
mwkwhich is quite fucking problematic, because you can in RTLIL00:11
tux3:/00:11
mwkand we're using verilog for converting one cell to another, and the cell you're converting can have 0-width ports, which is *not actually expressible* in Verilog00:12
mwkso the input [A_WIDTH-1:0] A; line actually describes a 2-bit input00:12
mwk(which happen to be A[-1] and A[0])00:13
mwkby passing that down to $pos, which is an internal cell that is strongly validated and expects 0-width input, you get an error00:13
tux3I see00:14
tux3well, your branch seems to work. Or at least, yosys gives no errors00:14
tux3thanks again00:14
mwkalright00:16
mwkI'll try to get it properly fixed tomorrow00:16
mwkthere are way more places like that00:17
mwkand yeah, it is a recent regression, PR #2027 specifically00:19
mwktux3: your ticket is https://github.com/YosysHQ/yosys/issues/205800:31
tpbTitle: Fix 0-WIDTH wire handling in techmap rules. · Issue #2058 · YosysHQ/yosys · GitHub (at github.com)00:31
qu1j0t3/b 1400:36
*** BinaryLust has joined #yosys00:45
*** emeb has quit IRC00:56
*** _whitelogger has quit IRC01:08
*** _whitelogger has joined #yosys01:26
*** citypw has joined #yosys01:34
ZipCPUmwk tux3: Is there a zero-length wire something that I need to fix in the crossbar core that I might not know about?  Was it the crossbar core causing the problem?02:11
mwkno02:46
mwkthere is no way it could be your issue, because you cannot actually make a zero-length wire in verilog02:46
mwkthey appear because of yosys optimizations on RTLIL02:47
mwkin this case, on an $alu input02:47
mwkprobably some const that got optimized away02:48
*** Degi has quit IRC03:25
*** Degi has joined #yosys03:27
*** BinaryLust has quit IRC04:48
*** DaKnig has quit IRC05:32
*** DaKnig has joined #yosys05:34
*** Asu has joined #yosys06:27
*** jakobwenzel has joined #yosys07:31
*** dys has joined #yosys07:40
*** ayazar has joined #yosys08:00
*** vidbina has joined #yosys08:49
*** captain_morgan has quit IRC09:12
*** captain_morgan has joined #yosys09:13
*** futarisIRCcloud has quit IRC09:17
*** kraiskil has joined #yosys09:26
*** kraiskil_ has joined #yosys09:42
*** kraiskil has quit IRC09:45
*** kraiskil_ has quit IRC09:54
*** vidbina has quit IRC10:06
*** kraiskil_ has joined #yosys10:16
*** citypw has quit IRC10:17
*** citypw has joined #yosys10:17
*** kraiskil_ has quit IRC10:42
*** kraiskil_ has joined #yosys10:45
*** vidbina has joined #yosys10:51
*** kraiskil_ has quit IRC11:39
*** mkru has joined #yosys11:41
*** kraiskil_ has joined #yosys11:41
*** X-Scale` has joined #yosys11:43
*** X-Scale has quit IRC11:46
*** X-Scale` is now known as X-Scale11:46
*** jakobwenzel has quit IRC11:56
*** adjtm_ has quit IRC11:57
*** adjtm has joined #yosys11:58
*** kraiskil_ has quit IRC12:01
*** adjtm has quit IRC12:16
*** adjtm has joined #yosys12:17
*** adjtm has quit IRC12:18
*** adjtm has joined #yosys12:18
*** futarisIRCcloud has joined #yosys12:26
*** kraiskil_ has joined #yosys12:27
*** vidbina has quit IRC12:31
*** vidbina has joined #yosys13:00
*** X-Scale` has joined #yosys13:26
*** X-Scale has quit IRC13:27
*** X-Scale` is now known as X-Scale13:27
*** kraiskil_ has quit IRC13:28
*** jfcaron__ has joined #yosys13:39
*** X-Scale` has joined #yosys13:53
*** X-Scale has quit IRC13:54
*** X-Scale` is now known as X-Scale13:54
*** jfcaron__ is now known as jfcaron14:02
*** citypw has quit IRC14:05
*** vidbina has quit IRC14:17
*** FFY00 has quit IRC14:20
*** FFY00 has joined #yosys14:23
*** FFY00 has quit IRC14:27
*** FFY00 has joined #yosys14:28
*** jakobwenzel has joined #yosys14:28
*** BinaryLust has joined #yosys14:44
*** jakobwenzel has quit IRC15:35
*** kraiskil_ has joined #yosys15:47
*** FFY00 has quit IRC15:54
*** FFY00 has joined #yosys15:55
*** dys has quit IRC16:02
*** kraiskil_ has quit IRC17:27
*** kraiskil_ has joined #yosys17:37
*** mkru has quit IRC17:40
*** jakobwenzel has joined #yosys18:04
*** jakobwenzel has quit IRC18:53
*** BinaryLust has quit IRC18:59
*** dys has joined #yosys19:12
*** ayazar has quit IRC19:28
*** FFY00 has quit IRC19:34
*** kraiskil_ has quit IRC19:45
*** az0re has quit IRC20:19
*** BinaryLust has joined #yosys20:23
*** az0re has joined #yosys20:59
*** BinaryLust has quit IRC21:01
*** BinaryLust has joined #yosys21:02
*** jakobwenzel has joined #yosys21:04
Forty-Botdoes yosys allow for some_module #(SOME_PARAMETER = foo) (/* inputs and outputs */) syntax?21:09
daveshahYes21:10
daveshahIf you mean in the module header?21:10
Forty-BotI mean when instantiating the module21:10
daveshahNo you need an instance name between the parameters and ports21:11
Forty-Botah, ok21:12
Forty-Botthanks21:12
daveshahe.g. https://github.com/cliffordwolf/picorv32/blob/master/picosoc/icebreaker.v#L10921:13
tpbTitle: picorv32/icebreaker.v at master · cliffordwolf/picorv32 · GitHub (at github.com)21:13
*** ross_s has quit IRC21:20
*** Asu has quit IRC21:27
*** BinaryLust has quit IRC21:35
*** BinaryLust has joined #yosys21:36
*** az0re has quit IRC22:05
*** az0re has joined #yosys22:14
*** BinaryLust has quit IRC22:57
*** jfcaron has quit IRC23:31

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!