Tuesday, 2020-05-05

*** tpb has joined #yosys00:00
*** adjtm has quit IRC00:19
*** adjtm has joined #yosys00:19
*** craigo_ has joined #yosys00:24
*** Cerpin has quit IRC00:33
*** futarisIRCcloud has quit IRC01:01
*** craigo_ has quit IRC01:25
*** craigo has joined #yosys01:26
*** jfcaron_ has joined #yosys01:37
*** Cerpin has joined #yosys01:50
*** strobokopp has quit IRC01:55
*** futarisIRCcloud has joined #yosys02:19
*** emeb has quit IRC02:27
*** emeb_mac has joined #yosys02:33
*** jfcaron_ has quit IRC03:00
*** Degi has quit IRC03:44
*** Degi has joined #yosys03:44
*** nengel has quit IRC05:27
*** nengel has joined #yosys05:36
*** emeb_mac has quit IRC05:48
*** citypw has joined #yosys06:01
*** N2TOH_ has quit IRC06:03
*** N2TOH has joined #yosys06:08
*** captain_morgan has quit IRC07:09
*** mmicko has quit IRC07:09
*** m_hackerfoo has quit IRC07:09
*** pepijndevos has quit IRC07:09
*** tlwoerner has quit IRC07:09
*** pacak has quit IRC07:09
*** hackerfoo has quit IRC07:09
*** kbeckmann has quit IRC07:09
*** pepijndevos has joined #yosys07:10
*** parport0 has quit IRC07:12
*** parport0 has joined #yosys07:14
*** captain_morgan has joined #yosys07:15
*** mmicko has joined #yosys07:15
*** m_hackerfoo has joined #yosys07:15
*** tlwoerner has joined #yosys07:15
*** pacak has joined #yosys07:15
*** hackerfoo has joined #yosys07:15
*** kbeckmann has joined #yosys07:15
*** Wolf480pl has quit IRC07:31
*** tux3 has quit IRC07:31
*** Wolf480pl has joined #yosys07:31
*** tux3 has joined #yosys07:32
*** dys has joined #yosys07:33
*** jakobwenzel has joined #yosys07:40
*** strobokopp has joined #yosys08:19
*** gtw has quit IRC08:36
*** kraiskil has joined #yosys08:39
*** futarisIRCcloud has quit IRC08:49
*** voxadam has quit IRC08:50
*** voxadam has joined #yosys08:51
*** Asu has joined #yosys09:00
*** Jybz has joined #yosys09:00
*** Asu has quit IRC09:04
*** Asu has joined #yosys09:04
*** Jybz has quit IRC09:17
*** vidbina has joined #yosys09:24
*** solenskiner has joined #yosys09:32
solenskinerHello. I want to package the yosys suite for archlinux. I recently saw a seminar on youtube on the status for the project, and the speaker suggested to use head. Is that still true?09:33
whitequarkthe 0.9 release is quite old and there have been significant improvements in HEAD09:34
whitequarkplus the netlists written by the 0.9 release are incompatible with nextpnr HEAD, I believe09:34
solenskinerI'll use head then. Thanks!09:35
daveshahYes, this is one of the reasons why there hasn't been a release of nextpnr yet09:36
*** jakobwenzel has quit IRC09:37
lambdasolenskiner: what exactly do you want to package? yosys is in community, yosys-git is in the AUR...09:50
*** jakobwenzel has joined #yosys10:19
solenskinerWell, the whole suite, i guess: vtr, nexptr, trellis, etc. Thought that would be a good way to get familiarized with the parts, and since i'll be needing em anyways later... ¯\_(ツ)_/¯10:24
*** gmc has quit IRC10:29
*** cr1901_modern has quit IRC10:30
*** vidbina has quit IRC10:30
*** lambda has quit IRC10:30
*** gmc has joined #yosys10:30
*** vidbina has joined #yosys10:31
daknigmaybe you wanna package symbiflow?10:33
*** lambda has joined #yosys10:34
solenskineroh, yeah, i might have confused which part was like the umbrella project? sorry10:37
*** kraiskil has quit IRC10:39
daveshahtbh nextpnr is more closely associated with yosys than symbiflow10:39
*** kraiskil has joined #yosys10:52
lambdasolenskiner: might want to talk to FFY00, they have a bunch of those packages already.10:55
*** N2TOH has quit IRC11:16
*** N2TOH has joined #yosys11:16
ZirconiumXThe only really important bit of VTR is VPR, since there's a development effort to use it for Project X-Ray11:17
ZirconiumXsolenskiner: ^11:21
ZirconiumXAnd I think they use a downstream for that11:21
daveshahyeah, the symbiflow fork11:22
*** BinaryLust has quit IRC11:43
*** vidbina has quit IRC11:45
*** kraiskil has quit IRC12:02
*** cr1901_modern has joined #yosys12:09
*** N2TOH has quit IRC12:10
*** N2TOH has joined #yosys12:11
*** futarisIRCcloud has joined #yosys12:14
*** kraiskil has joined #yosys12:15
*** indy has quit IRC12:16
*** indy has joined #yosys12:22
FFY00solenskiner, feel free to ping me if you need anything12:48
*** emeb has joined #yosys12:52
*** kraiskil has quit IRC12:53
*** craigo has quit IRC13:03
*** indy has quit IRC13:05
*** kraiskil has joined #yosys13:06
*** vidbina has joined #yosys13:08
*** indy has joined #yosys13:16
*** kraiskil has quit IRC13:18
*** alexhw has quit IRC13:47
*** vidbina has quit IRC14:42
*** X-Scale has quit IRC14:43
*** jfcaron_ has joined #yosys14:49
*** citypw has quit IRC15:55
*** X-Scale has joined #yosys16:42
*** vidbina has joined #yosys16:44
*** gtw has joined #yosys16:50
*** N2TOH_ has joined #yosys16:50
*** N2TOH has quit IRC16:50
*** kraiskil has joined #yosys16:52
*** N2TOH_ has quit IRC16:55
*** N2TOH has joined #yosys17:01
*** N2TOH has quit IRC17:10
*** N2TOH has joined #yosys17:14
*** N2TOH_ has joined #yosys17:20
*** N2TOH has quit IRC17:20
*** adjtm has quit IRC17:23
*** adjtm has joined #yosys17:24
*** N2TOH_ has quit IRC17:35
*** N2TOH has joined #yosys17:38
*** daknig has quit IRC17:44
*** az0re has quit IRC18:06
*** N2TOH_ has joined #yosys18:08
*** N2TOH has quit IRC18:10
*** daknig has joined #yosys18:18
*** kraiskil has quit IRC18:20
*** kraiskil has joined #yosys18:23
*** az0re has joined #yosys19:27
*** Cerpin has quit IRC19:29
*** captain_morgan has quit IRC19:36
*** captain_morgan has joined #yosys19:37
*** daknig is now known as DaKnig20:01
*** DaKnig is now known as daknig20:01
strobokoppHi! I'm using nmigen+yosys to generate Verilog code that I synthesize with XST from Xilinx ISE. Now there's an issue when nmigen creates a switch with cases like this: case 1'0 and case 1'-20:17
*** N2TOH_ has quit IRC20:18
strobokoppnow the verilog output from yosys is casez() 1'h0 and 1'h? which XST does synthesize, but the result is wrong20:18
whitequarkstrobokopp: hi20:18
whitequarkthe verilog output from yosys looks correct to me20:18
whitequarkdoes XST synthesize correctly if you take that Verilog file and use 1'h1 in it instead, keeping everything else intact?20:19
strobokoppwhitequark: yes, then it works, I think. Let me double-check quickly..20:21
*** N2TOH has joined #yosys20:21
strobokoppexample ilang code: https://pastebin.com/haEGUtf9 (switch \s_target is the one)20:22
tpbTitle: module\top wire width 1 input 0 \s_target wire width 2 input 1 \s_state - Pastebin.com (at pastebin.com)20:22
whitequarkstrobokopp: actually, let's backtrack a bit. exactly which XST are you using? which device? is it one with the new parser?20:24
*** N2TOH has quit IRC20:26
strobokoppwhitequark: alright! it's XST from ISE 14.7, not sure where to find its own version number. Device is a Spartan-3E xc3s1600e.20:27
whitequarkare you passing `-use_new_parser yes` to XST?20:28
strobokoppI never heard of that parser option :) so probably no, I'll check..20:28
strobokoppwhitequark: I wasn't, XST warns me now that I'm on my own with this, but the netlist actually looks correct now!20:32
whitequarkwell, here you are. nmigen.build knows to pass this option automatically because otherwise xst uses a seriously noncompliant verilog parser20:33
ZirconiumXOh god, I've heard bad things about ISE, but this is terrifying20:40
strobokoppoh well :D hours and hours of head-scratching over unexplainable behavior of the synthesized design and it's just that old parser, nmigen knew it all the time, I just didn't use it to call XST.20:40
whitequarkgood luck with whatever it is you're using a S3E for...20:41
strobokoppI knew I was in for trouble when I grabbed that Spartan 3 board fdrom the dumpster.20:41
whitequarknmigen.build currently raises a NotImplementedError for 3E, but I think we can fix that if you're willing to put in some time testing it20:41
whitequarkI honestly thought I'll never see nmigen used for anything older than 3A20:41
whitequarkwhat's next, virtex-2? :20:41
whitequark*:D20:41
strobokopphey you never know what you'll find in the junk, don't be suprised if I do show up again with sometrhing ancient!20:43
daveshahxc2064 is where it is at!20:43
strobokoppwhitequark: but I could sure do some tests with the Spartan-3E to have support for it in nimgen20:44
ZirconiumXI mean, we accidentally added support for the wrong Cyclone chip, WQ. I'm honestly surprised they're still fucking making EP3C20:44
whitequarklol20:45
*** Asuu has joined #yosys20:46
*** Asuu has quit IRC20:46
*** Asuu has joined #yosys20:47
daveshahBig vendor FPGAs have pretty good longevity20:47
daveshahI think Xilinx promise 20 years or something of that magnitude20:48
*** Asu has quit IRC20:50
daveshahHeck, looks like Spartan 2 are still available to buy on DigiKey and not even flagged as obsolete20:51
whitequarkwow20:51
*** N2TOH has joined #yosys20:53
*** jakobwenzel has quit IRC20:59
*** N2TOH has quit IRC21:00
ZirconiumXThe manual for the EP3C has a 1.0 release of March 2007.21:12
ZirconiumXSo yeah21:12
daveshahCyclone III is a bit special given that the arch lives on in a strange way21:14
daveshahI think Cyclone IVE is pretty much a direct die shrink of it21:14
daveshahand then that became 10LP21:15
strobokoppah and I'm pleased to see that there is also plenty of Spartan-3E supply on digikey. Virtex-II seems to be harder to find, having been discontinued in 2012 already! They really let us down there.21:19
strobokoppoh wait, some Virtex-II Pro were only discontinued in 201821:21
strobokoppand then the rest (?) in February 202021:22
daveshahStill time for a last time buy!21:26
daveshahhttps://www.digikey.co.uk/product-detail/en/xilinx-inc/XC2VP70-7FF1517C/XC2VP70-7FF1517C-ND/613214721:26
tpbTitle: XC2VP70-7FF1517C Xilinx Inc. | Integrated Circuits (ICs) | DigiKey (at www.digikey.co.uk)21:26
daveshahSubject to mortgage approval at that price though21:26
strobokoppneeds better justification than trying to fix an old dev board to toy around with21:28
*** BinaryLust has joined #yosys21:30
*** Marex has quit IRC21:36
*** Marex has joined #yosys21:40
strobokoppwhitequark: thanks, by the way. I don't think I would've had the will to look any further myself. I would've just blamed ISE and written a script to replace the question marks :D21:42
strobokoppnow I can still blame ISE, but in a somewhat educated manner21:43
whitequarkno problem, glad i could help21:50
whitequark(don't tell anyone, but: i don't even use ISE. i just googled `"ISE" "casez" bug` and found a Xilinx AR recommending the option)21:51
strobokoppsmart!22:06
*** Cerpin has joined #yosys22:20
*** N2TOH has joined #yosys22:53
*** Asuu has quit IRC23:10
*** jfcaron_ has quit IRC23:24
*** vidbina has quit IRC23:38

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!