Monday, 2020-05-04

*** tpb has joined #yosys00:00
*** BinaryLust has joined #yosys00:09
*** kraiskil has quit IRC00:38
*** X-Scale` has joined #yosys01:10
*** X-Scale has quit IRC01:12
*** X-Scale` is now known as X-Scale01:12
*** _whitelogger has quit IRC01:21
*** _whitelogger has joined #yosys01:23
tux3Has anyone thought about rewriting the verilog frontend?01:25
tux3Every time I try to improve something and end up in simplify.cc, it makes me want to install <proprietary tool> :/01:25
awygleI am sure many people have _thought_ about it lol01:37
whitequarki believe rewriting the verilog frontend is very much encouraged01:45
*** citypw_ has joined #yosys01:59
*** futarisIRCcloud has quit IRC02:51
*** futarisIRCcloud has joined #yosys03:06
bubble_busteris verilator's frontend any good? it occurred to me a while ago that verilator has pretty complete synthesizable SV support, and it essentially "synthesizing" the input RTL, I wonder why that hasn't been mapped to yosys? licensing? or is it not as straightforward as I imagine?03:42
*** Degi has quit IRC03:45
*** Degi has joined #yosys03:47
awygleverilator is AL/GPL both of which are copyleft so i imagine that's an issue04:11
*** proteusguy has quit IRC04:11
awyglebut also, probably it's not straightforward04:11
*** proteusguy has joined #yosys04:23
*** citypw_ has quit IRC05:02
*** citypw_ has joined #yosys05:02
*** BinaryLust has quit IRC05:09
*** N2TOH has quit IRC05:30
*** N2TOH has joined #yosys05:31
*** BinaryLust has joined #yosys06:17
*** emeb_mac has quit IRC06:55
*** kraiskil has joined #yosys07:13
*** kraiskil has quit IRC07:28
*** jakobwenzel has joined #yosys07:39
*** kraiskil has joined #yosys07:40
*** Asu has joined #yosys08:41
*** vidbina has joined #yosys08:53
*** daknig has quit IRC08:58
*** daknig has joined #yosys09:06
*** citypw_ has quit IRC09:39
*** anuejn has joined #yosys10:20
*** dys has quit IRC10:21
*** dys has joined #yosys11:14
*** FFY00 has quit IRC11:15
*** FFY00 has joined #yosys11:15
*** BinaryLust has quit IRC11:18
*** vidbina has quit IRC12:35
*** vidbina has joined #yosys12:40
*** FFY00 has quit IRC12:44
*** FFY00 has joined #yosys12:46
*** emeb has joined #yosys14:13
*** jfcaron has joined #yosys14:15
*** daknig has quit IRC14:35
*** kraiskil has quit IRC14:41
*** kraiskil has joined #yosys14:59
*** futarisIRCcloud has quit IRC15:11
*** dys has quit IRC15:12
*** futarisIRCcloud has joined #yosys15:26
*** kraiskil has quit IRC15:28
*** kraiskil has joined #yosys15:32
*** kraiskil has quit IRC15:57
*** kraiskil has joined #yosys16:11
*** kraiskil has quit IRC16:32
*** jakobwenzel has quit IRC16:33
lambdaweird, -Wextra is supposed to enable -Wimplicit-fallthrough=3, which allows comments like "falls through" to silence the warning: https://gcc.gnu.org/onlinedocs/gcc/Warning-Options.html but the travis builds with g++9 report them anyway: https://travis-ci.org/github/YosysHQ/yosys/jobs/682632651#L66116:42
tpbTitle: Warning Options (Using the GNU Compiler Collection (GCC)) (at gcc.gnu.org)16:42
*** kraiskil has joined #yosys16:45
*** craigo_ has quit IRC16:51
*** N2TOH_ has joined #yosys17:09
*** N2TOH has quit IRC17:10
*** az0re has quit IRC17:12
*** kraiskil has quit IRC17:51
*** strobokopp has joined #yosys17:51
ZirconiumXUnnecessary bikeshedding: Yosys is capitalised, but nextpnr is not, right?18:05
daveshahYes18:05
ZirconiumXWhen did the JSON format change for nextpnr? I'm writing a mini-cookbook for Yosys etc and I think the format broke at some point18:14
ZirconiumXSo I want to give a rough date for "your stuff is too old"18:15
ZirconiumXSince "master" or "latest" are not really valid versions :P18:15
daveshahI think the last change to affect ice40/ecp5 was around August 2019?18:25
ZirconiumXSo 0.9?18:27
ZirconiumXIsh18:28
*** az0re has joined #yosys18:29
awygledaveshah: are you open to taking something besides the JSON RTLIL representation as input to nextpnr? (ref. some discussions yesterday about RTLIL instability and LoFIRRTL)18:30
daveshahPost 018:30
daveshahPost 0.9 release18:31
awygle(assuming somebody did the work, which, yknow, we're all busy lol)18:31
daveshahawygle: sure, although note that JSON is somewhat different to RTLIL18:31
daveshahBut adding a new format shouldn't be too hard18:31
awygleoh? i thought it was just a representation of the RTLIL18:31
daveshahIt is, but it is in a way that reduces the things that have compatability problems18:32
awygle(not to be confused with ilang, which iiuc is the [a] text representation of RTLIL)18:32
daveshahIn particular it doesn't support processes18:32
daveshahThe JSON spec also says new fields can be added and anything parsing has to ignore them18:32
awyglethat's nice18:32
daveshahAlthough it has broken in the past, it should be pretty stable now18:32
daveshahThat was due to a change in the way parameters are encoded18:33
ZirconiumXI'm wondering if `synth_ice40 -retime` should be recommended or not.18:34
ZirconiumX(currently I suggest `-dsp` for ultraplus)18:34
daveshahDefinitely not, the current retiming is pretty horrible18:34
daveshahIts been rare to see a QoR improvement and it makes debugging harder if registers are messed with18:35
ZirconiumXBasically, I have four categories of options that aren't "default": useful (-top and -noflatten), sometimes useful (-dffe_min_ce_use if there's routing congestion, maybe?), worth mentioning (ABC9 for iCE40 might get better with time), and not worth mentioning (does anybody even use -nocarry?)18:38
daveshahnocarry is mostly useful if you think there is a bug related to carry chains18:39
ZirconiumXOr -nobram18:39
daveshahDitto18:40
daveshahBut not so much for ice40 where it will likely explode the design18:40
daveshahBut for ECP5 I've forced everything into lutram to make sure a bug wasn't bram related18:40
ZirconiumXSure, but if I'm mostly targeting somebody asking "what do these levers do?", I don't think it's important to mention them18:40
daveshahNo18:40
daveshahIndeed not18:41
awygle2c, i'd want you to mention them and say "these are for debugging, not for production use, here's what they do", because otherwise i'd be like "hey wait these show up in -help but you don't mention them! is this article out of date?!"18:41
awyglebut i may be atypical18:42
ZirconiumXOkay, valid point18:42
awyglebtw why does yosys use single - instead of double -- ? this always annoys me when i encounter it :(18:43
ZirconiumXConversely it always annoys me when I have to use -- for nextpnr :P18:44
whitequarkyosys passes aren't unixy tools18:44
whitequarki guess that's why18:44
daveshahVivado tcl commands, for example use single dash too, iirc18:46
ZirconiumXLikewise for Quartus I think18:49
*** jfcaron has quit IRC18:57
*** daknig has joined #yosys19:00
ZirconiumXIs -edif for icecube or something?19:00
ZirconiumX(in synth_ice40)19:00
*** Cerpin has quit IRC19:01
ZirconiumXdaveshah: ^19:01
daknigwhat's the status of yosys regarding to the support of the 7 series? what features are missing?19:02
daknigI am new to FPGAs and I was thinking of using yosys for my project , but it requires the DDR memory on my zynq and DSP slices (as well as other things)19:03
ZirconiumXI think shift-register inference is not quite there yet19:03
ZirconiumXDSP48E1 is supported AIUI19:03
ZirconiumXAnd can be inferred19:03
*** vidbina has quit IRC19:03
*** Cerpin has joined #yosys19:03
*** nengel has joined #yosys19:05
*** jfierro has joined #yosys19:06
*** attie has quit IRC19:08
jfierroHi all. Not sure how useful this could be to this group but here's a diagram of the Xilinx 7-Series SLICEL architecture that I made: https://raw.githubusercontent.com/jfierro/fpga-doc/master/xilinx/7-Series_SLICEL.svg.19:08
ZirconiumXjfierro: Maybe it's more useful in #symbiflow?19:09
jfierroAs far as I know they already know what they need about the SLICEL, but yeah you're right :)19:12
ZirconiumXGenerally this stuff is too low-level for Yosys19:13
ZirconiumXBut it's useful anyway19:13
* ZirconiumX stares at the lack of documentation they have for Intel chips19:13
ZirconiumXWell, I mean, I made a diagram for the ALM19:16
*** jfierro32 has joined #yosys19:21
*** jfierro32 has quit IRC19:21
*** jfierro44 has joined #yosys19:22
*** jfierro has quit IRC19:25
ZirconiumXAlso, thinking about it, -nocarry can sometimes be faster due to it using Brent-Kung instead of ripple-carry, right?19:43
ZirconiumX*faster than carry chains19:43
daveshahGiven that the carry chains are so much faster than fabric, I've never seen an Fmax improvement with -nocarry19:44
ZirconiumXMmm, fair.19:44
ZirconiumX-nodffe *may* reduce routing congestion, right?19:46
daveshahYes, definitely19:47
ZirconiumXBecause it doesn't have to route the enable signal19:47
daveshahSometimes there are optimisations possible without the enable too19:48
daveshahAnd it makes placement easier by reducing the number of control sets19:48
daveshahBut dffe_min_ce_use is less of a blunt instrument than nodffe19:48
ZirconiumXhttps://gist.github.com/ZirconiumX/a64c38741c4ec8b907b1f2fabe675af4 <-- thoughts? also from awygle19:52
tpbTitle: ice40.md ยท GitHub (at gist.github.com)19:52
*** strobokopp has quit IRC20:00
*** strobokopp has joined #yosys20:01
daveshahLooks good!20:04
awyglelooks good to me too though i'm _definitely_ not who you want to be asking :p20:09
*** jfcaron has joined #yosys20:34
*** Cerpin has quit IRC21:24
*** BinaryLust has joined #yosys21:37
*** Cerpin has joined #yosys21:38
*** jfcaron has quit IRC22:02
*** kuldeep has quit IRC22:37
*** Asu has quit IRC23:03
*** FFY00 has quit IRC23:06
*** FFY00 has joined #yosys23:06
*** kuldeep has joined #yosys23:10
*** kuldeep has quit IRC23:23
*** yosys-questions has quit IRC23:49

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!