Tuesday, 2020-02-25

*** tpb has joined #yosys00:00
*** twnqx has quit IRC00:14
*** rohitksingh has quit IRC00:21
*** klotz has quit IRC00:29
*** emeb has quit IRC00:34
*** rohitksingh has joined #yosys00:51
*** emilazy has quit IRC01:16
*** emilazy has joined #yosys01:16
*** emily has quit IRC01:16
*** emily has joined #yosys01:16
*** citypw has joined #yosys02:07
*** vidbina has quit IRC02:17
*** vidbina has joined #yosys02:19
*** anticw has joined #yosys02:20
*** sfs1926 has joined #yosys02:28
*** rohitksingh has quit IRC02:31
*** rohitksingh has joined #yosys03:20
*** vidbina has quit IRC03:35
az0rewhat is the 'SEP' label applied to some issues in github? what does it mean?03:52
*** Ristovski has quit IRC03:53
*** finnb0 has joined #yosys03:53
*** finnb has quit IRC03:53
*** chipb has quit IRC03:53
*** finnb0 is now known as finnb03:53
*** [Ristovski] has joined #yosys03:54
az0res/issues/PRs/03:54
*** chipb has joined #yosys03:56
qu1j0t3interesting question, i haven't seen that. link?03:58
*** voxadam has quit IRC04:11
*** voxadam has joined #yosys04:11
*** captain_morgan has joined #yosys04:17
XarkApparently six flavors of "labels" on Yosys (e.g.).  Not sure what SEP is though...   https://github.com/YosysHQ/yosys/labels04:24
tpbTitle: Labels · YosysHQ/yosys · GitHub (at github.com)04:24
*** _whitelogger has quit IRC04:34
*** _whitelogger has joined #yosys04:36
*** dys has quit IRC05:11
*** rohitksingh has quit IRC05:17
*** sfs1926 has quit IRC05:27
*** rohitksingh has joined #yosys05:30
*** zkms has quit IRC05:36
*** zkms has joined #yosys05:38
*** rohitksingh has quit IRC06:28
*** rohitksingh has joined #yosys06:29
lambdare SEP: "somebody else's problem"? ;) though doesn't really make sense in the context of pull requests07:09
*** m4ssi has joined #yosys07:33
*** rohitksingh has quit IRC07:40
*** dys has joined #yosys08:12
*** _whitelogger has quit IRC08:40
*** _whitelogger has joined #yosys08:42
mwkSEP stands for SymbioticEDA Process and is for prs that warrant a longer discussion in an all-hands meeting of yosys developers08:50
mwk(which is why the list of those should be getting shorter on thursdays)08:51
az0reI see, thanks08:58
*** fsasm has joined #yosys09:07
*** r_miller has joined #yosys09:17
*** thardin has joined #yosys09:25
*** twnqx has joined #yosys09:26
*** [Ristovski] is now known as Ristovski09:31
thardinhi, how complete is the xilinx 7 support? enough to use the transceivers, multipliers and external RAM?09:33
sensillei'm a bit perplexed: https://pastebin.com/VgFnziWB09:34
tpbTitle: this assigns uart[channel]: always @(*) begin: uartmux integer i; - Pastebin.com (at pastebin.com)09:34
sensillethis is with verilator. am i missing some verilog quirks?09:35
lambdathardin: I think all of those are still lacking in nextpnr-xilinx. I know DSP is not complete, haven't tested DRAM yet, but I think that has the highest chance of working out of those.09:37
thardinlambda: hm, ok09:40
daveshahlitedram should work, but its a bit experimental09:40
daveshahmultipliers work, other DSP functions don't necessarily09:40
daveshahno transceiver support at all atm09:40
thardinshame. but maybe one can rig a parallel interface with the I/Os09:41
thardinwould it be possible to sponsor someone to take a crack at tramsceiver support?09:43
daveshahIf you are talking a fully open source flow, the first step would be supporting them in prjxray09:46
thardinthat's the RE stuff, right?09:46
daveshahIndeed09:47
daveshahmwk has also been doing some Xilinx RE but I don't know if she's looked at xc7 transceivers yet09:48
mwknot really, but they tend to go down quickly09:48
mwkit's just a bunch of attributes stored 1-1 into the bitstream09:49
thardinso I imagine09:49
daveshahIf its anything like ecp5 the challenge is working out what those attributes actually do09:49
daveshahie making a foss replacement to the Vivado wizard or whatever09:49
thardinseems the artix is a better deal than the kintex in terms of dsp/$09:50
mwk*shrug* a valid way is just making a known-good template with vivado and reusing its attribute set09:50
tntsensille: if those two behave differently that look slike a verilator bug to me.09:51
tnt(the only diff is the begin/end right ?)09:51
thardinmwk: right, should be possible to nail down in a reasonable amount of time. I poked at installing vivado a while back, maybe I'll give it a go again09:52
mwkalso, note that in the meantime, yosys + Vivado P&R is a path that should be fully working09:53
mwk(if you can figure out how to instantiate the transceivers, which shouldn't be that hard)09:53
sensilletnt: right, begin/end changes behavior. i can't get it fully to work at all, so i'm suspecting i'm doing something wrong09:54
thardinhum-hum.. if I can do multi-drop LVDS then this might just be feasible09:55
tntm-lvds is a thing but it's a bit != from lvds (termination etc ...)09:56
thardinof course09:56
thardinhttps://github.com/westonb/artix7-PCIe  ooh10:00
tpbTitle: GitHub - westonb/artix7-PCIe: artix-7 PCIe dev board (at github.com)10:00
thardinif it can DMA in/out of some GPUs then I'm all set10:01
thardinjust got some replies from the HPC people, maybe I don't have to do this after all :)10:13
sensilleit might have been related to the signal as being inout10:14
*** klotz has joined #yosys10:17
tntthardin: "Design has been built but prototypes were killed by JTAG ground loop due to user error." ...10:23
thardintnt: a tale as old as electronics itself10:36
thardinI did some repair work on a pinball machine a while back where one of the problems was shitty grounding10:37
thardingrounded in a chain, so that the voltage drop at the end was high enough that solenoid transistors would spontaneously trigger10:38
*** fsasm has quit IRC11:38
sensilleany guesses what would be the best channel to ask about verilator?12:04
ZirconiumX##openfpga seems like a sensible place to ask12:14
ZirconiumXI don't think it has a dedicated IRC channel12:14
*** Thorn has quit IRC12:46
*** Thorn has joined #yosys12:49
*** fsasm has joined #yosys12:56
*** fsasm has quit IRC13:12
*** strongsaxophone has joined #yosys13:40
*** strongsa1ophone has joined #yosys13:45
*** sfs1926 has joined #yosys13:45
*** fsasm has joined #yosys13:45
*** strongsaxophone has quit IRC13:47
*** vidbina has joined #yosys13:58
*** vidbina has joined #yosys13:58
*** citypw has quit IRC14:01
*** sfs1926 has quit IRC15:04
*** strongsaxophone has joined #yosys15:32
*** strongsa1ophone has quit IRC15:34
*** vidbina has quit IRC16:01
*** X-Scale has quit IRC16:13
*** X-Scale` has joined #yosys16:13
*** sfs1926 has joined #yosys16:13
*** X-Scale` is now known as X-Scale16:15
*** X-Scale has quit IRC16:38
*** rohitksingh has joined #yosys17:11
*** klotz has quit IRC17:24
*** m4ssi has quit IRC17:31
*** Xark has quit IRC17:52
*** dys has quit IRC18:11
*** oldtopman has quit IRC18:12
*** oldtopman has joined #yosys18:14
*** alexhw has quit IRC18:30
*** alexhw has joined #yosys18:32
*** Xark has joined #yosys18:36
*** rohitksingh has quit IRC19:00
*** oldtopman has quit IRC19:18
*** X-Scale has joined #yosys19:21
*** rohitksingh has joined #yosys19:23
*** oldtopman has joined #yosys19:26
*** rohitksingh has quit IRC20:54
*** rohitksingh has joined #yosys21:30
*** twnqx has quit IRC21:38
*** rohitksingh has quit IRC21:50
*** fsasm has quit IRC22:48
*** rohitksingh has joined #yosys22:58
*** emeb has joined #yosys23:16

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!