Wednesday, 2020-02-12

*** tpb has joined #yosys00:00
ZirconiumXpeepsalot: Welcome to FPGAs00:15
peepsalotwell, there's a github mirrored direct link here in case its useful https://github.com/neilisaac/ece496/blob/master/reference/quip_toolkit-9.0/documents/vqmx_doc.pdf00:20
tpbTitle: ece496/vqmx_doc.pdf at master · neilisaac/ece496 · GitHub (at github.com)00:20
peepsalotalso found this project https://github.com/AmeerAbdelhadi/Verilog-Quartus-Mapping-VQM-Netlist-Parser00:28
tpbTitle: GitHub - AmeerAbdelhadi/Verilog-Quartus-Mapping-VQM-Netlist-Parser: generates a Comma-Separated Values (CSV) file of all nodes in a given Verilog Quartus Mapping (VQM) netlist and their respective fanouts, ordered by fanout (highest first) (at github.com)00:28
peepsalotnot sure if anything insightful from that00:28
peepsalotZirconiumX: so would EDIF be the more of the preferred route for interfacing with Quartus, as an "open" standard?00:34
ZirconiumXpeepsalot: As I mentioned, you need to figure out what dialect of EDIF we have, and that's even less documented00:36
*** rohitksingh has quit IRC01:15
*** rohitksingh has joined #yosys01:17
*** rohitksingh has quit IRC01:23
*** rohitksingh has joined #yosys01:26
*** citypw has joined #yosys02:17
peepsalotman, i just read though the EDIF wikipedia page, sad...02:28
*** citypw has quit IRC03:30
*** Cerpin has quit IRC03:34
*** Cerpin has joined #yosys03:34
*** citypw has joined #yosys03:35
*** N2TOH_ has joined #yosys05:34
*** N2TOH has quit IRC05:38
*** peepsalot has quit IRC05:56
*** N2TOH_ is now known as N2TOH06:03
*** Jybz has joined #yosys07:27
*** fsasm has joined #yosys08:15
*** likewise has joined #yosys08:16
*** dys has joined #yosys08:25
*** rohitksingh has quit IRC08:43
*** rohitksingh has joined #yosys09:00
*** rohitksingh has quit IRC09:03
*** rohitksingh has joined #yosys09:05
*** rohitksingh has quit IRC09:06
*** rohitksingh has joined #yosys09:07
*** rohitksingh has quit IRC09:14
*** rohitksingh has joined #yosys09:16
*** rohitksingh has quit IRC09:19
*** rohitksingh has joined #yosys09:20
*** rohitksingh has quit IRC09:24
*** vidbina has joined #yosys09:25
*** rohitksingh has joined #yosys09:26
*** rohitksingh has quit IRC09:26
*** az0re has joined #yosys09:27
*** rohitksingh has joined #yosys09:29
*** rohitksingh has quit IRC09:39
*** kraiskil has joined #yosys09:47
*** vidbina has quit IRC12:08
*** peepsalot has joined #yosys12:45
ZirconiumXHi peepsalot13:36
peepsalothi13:36
ZirconiumXI think we're probably targeting VQM13:39
ZirconiumXSince it mostly just requires hacking write_verilog13:41
*** X-Scale has quit IRC13:54
*** X-Scale` has joined #yosys13:55
*** X-Scale` is now known as X-Scale13:55
*** chipb_ is now known as chipb16:01
*** kraiskil has quit IRC16:10
*** citypw has quit IRC16:17
*** Sarayan has joined #yosys16:21
Sarayanyosys barfs on existing sv code (fx68k, a 68000 sv reimplementation), it doesn't seem to like typedef struct { ... }.  I've heard there was plans to change of parser, is that true?16:21
*** kraiskil has joined #yosys16:23
Sarayan(also, hi :-)16:27
ZirconiumXWell, Yosys has Verific support, but then you need a Verific license for that16:38
ZirconiumXWhich you probably can't get16:38
Sarayanprobably not indeed16:39
Sarayanso the way forward is what, extend the existing parser or see if working with verible is possible?16:41
ZirconiumXI think there's a Google SV parser?16:43
Sarayanthat would be verible :-)16:43
Sarayanhttps://github.com/google/verible16:43
tpbTitle: GitHub - google/verible: Verible provides a SystemVerilog parser, style-linter, and formatter. (at github.com)16:43
ZirconiumXAh16:44
ZirconiumXI didn't know the name of it16:44
Sarayandidn't know the name of it an hour ago, so you're cool :-)16:45
Sarayanok, trying to compile verible downloads a java jdk16:48
*** dys has quit IRC17:09
*** kraiskil has quit IRC17:10
*** fsasm has quit IRC17:13
*** vidbina has joined #yosys17:47
*** phantomcircuit_ is now known as phantomcircuit17:50
*** stzsch has quit IRC17:51
*** X-Scale` has joined #yosys17:54
*** X-Scale has quit IRC17:56
*** X-Scale` is now known as X-Scale17:56
SarayanUrgh, right now verible doesn't look designed to be integrated into, well, anything at all18:11
whitequarkiit's definitely not ready to use18:12
whitequarkand integrating it into yosys would me a major task18:12
Sarayanso upgrading the current parser would be a better way?18:12
whitequarkdefine "better"18:13
Sarayanto be able to run a simulation of fx68k while the efforts to do so are also useful for other users of yosys18:13
whitequarkif you just want to scratch your own itch, sure18:13
Sarayannow that was a badly constructed sentence18:13
SarayanI'd like to scratch it, but to do it in a useful way18:14
whitequarkfixing it just enough to run fx68k is certainly easier than integrating and testing verible18:14
*** edhg has joined #yosys18:22
*** stzsch has joined #yosys18:24
Sarayanthat's for sure18:32
SarayanI suspect what I dislike most about verible is the terrible build system18:32
whitequarkbazel?18:33
Sarayanyeah18:33
whitequarksome people find it the best thing ever but i personally think it's just more of annoying google stuff18:34
whitequarkmost people aren't building a hundred terabyte monorepo and bazel just makes things hard for those who aren't18:34
Sarayanit scribbles all over the place, autodownloads dependencies, ignores system libs18:35
Sarayanlike, I happen to have bison, flex an m4 on my system, no need to recompile them, tyvm18:35
whitequarkit's useful if you want reproducible builds and don't trust the environment18:35
whitequarkor if you need bison, flex and m4 on windows18:36
Sarayanit's fascinating, bazel seems only capable of building executables, and that's it18:46
Sarayanit's not even capable of putting them in a useulf place18:47
Sarayanand don't think about building externally usable libraries18:47
Sarayanit's strange18:48
SarayanI must be missing something18:48
whitequarki'm pretty sure it can link an externally usable libraries, ANGLE is that18:51
whitequarkbuild*18:51
Sarayanlemme check ANGLE then18:51
Sarayanangle uses ninja18:52
Sarayan*sigh*18:52
Sarayanhttps://github.com/google/angle/blob/master/doc/DevSetup.md18:52
tpbTitle: angle/DevSetup.md at master · google/angle · GitHub (at github.com)18:52
whitequarkoh18:55
whitequarkwait, it uses *gn*, not ninja18:55
whitequarkwhich is another equally annoying build system from google, but i stand corrected18:55
Sarayanwell, gn generates ninja files, because cmake is not enough I guess, nor is maven18:58
Sarayanbazel have rules to make librairies, but they want one library per source file18:58
Sarayanthat's not ticking my "usable library" box18:58
whitequarkmy approach to google build systems in the past has been "rip out and replace with cmake"18:59
whitequarkwhich is very laborous.18:59
Sarayanwell, given it would be to have it in yosys, I guess it would mean "replace with make", but yeah19:00
Sarayannice thing though, verible is *small*19:00
Sarayanok, time to go hunt for food19:03
*** rohitksingh has joined #yosys19:36
*** vidbina has quit IRC19:39
Sarayanback19:49
*** rohitksingh has quit IRC19:54
*** Jybz has quit IRC20:32
*** shapr has joined #yosys21:48
shaprhello again, I heard rumors that Xilinx 7 series has more support now?21:49
shaprdoes that mean I can do away with vivado entirely?21:50
peepsalotZirconiumX: ok, understood re: VQM.  sorry, you caught me deep in a troubleshooting session and just before sleep21:50
*** rohitksingh has joined #yosys21:51
shaprI start a new job tomorrow, I'll be able to dump money on OSS projects again, hurrah!21:51
ZirconiumXshapr: Eeeeh, kinda21:51
shaprZirconiumX: tell me more?21:51
ZirconiumXSo, there's Project X-Ray, which has been reversing the XC721:51
shaprI bought one unit of Bunnie Huang's NeTV2, with the spiffy 100T chip21:51
ZirconiumXHowever, nextpnr support is still fairly beta-quality, if I understand daveshah correctly21:52
shaprdoes that mean, likely to permanently fry my chip ?21:53
shaprif not, I'm happy to be a beta tester and report bugs/problems.21:53
daveshahafaik prjxray doesn't support the 100T yet21:53
daveshahI believe this is coming soon though21:53
shaprdaveshah: anything I can do to help?21:53
daveshahProbably best just to wait21:53
shaprI'll send you patreon money once I get my first paycheck :-)21:54
daveshah:)21:54
shaprdaveshah: thanks for doing so much awesome work!21:54
ZirconiumXPatreon is a weird business model to me21:54
shaprwell, I'd happily send money directly if there were an easier way21:55
shaprsomething like "send $100 a month for the next year to this person, remind me when that's over"21:55
ZirconiumXTo do things like RE Intel chips, I need time and money to not starve, and to get money to not starve I need to RE intel chips21:55
shaprI'm paying money to kill off Xilinx tools. I cannot describe how much xilinx software has offended me.21:57
ZirconiumXI mean, I hate Quartus a lot :P21:59
*** edhg has quit IRC22:09
*** rohitksingh has quit IRC22:42
*** rohitksingh has joined #yosys22:53
*** rohitksingh has quit IRC23:11
*** rohitksingh has joined #yosys23:26
*** rohitksingh has quit IRC23:32
GenTooManIt's personal.23:45

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!