Monday, 2020-01-13

*** tpb has joined #yosys00:00
*** jjjaaaccckkk has joined #yosys00:08
*** vidbina_ has quit IRC00:23
*** lutsabound has quit IRC03:34
*** jjjaaaccckkk has quit IRC04:02
*** jjjaaaccckkk has joined #yosys04:09
*** rohitksingh has joined #yosys05:49
*** emeb_mac has quit IRC06:57
*** rohitksingh has quit IRC07:02
*** dys has quit IRC07:57
*** svenn has quit IRC08:09
*** svenn has joined #yosys08:09
*** jakobwenzel has joined #yosys08:09
rjoi didn't see anything in the logs. maybe about fabric clock enables but not about the SB_IO08:31
rjodaveshah: got a link?08:31
rjoor alternatively: does https://github.com/YosysHQ/yosys/blob/58ab9f6021bc5b90956d97759ef0f3bc8c7e209e/techlibs/ice40/cells_sim.v#L1387-L1399 match reality?08:32
tpbTitle: yosys/cells_sim.v at 58ab9f6021bc5b90956d97759ef0f3bc8c7e209e · YosysHQ/yosys · GitHub (at github.com)08:32
daveshahrjo: see https://twitter.com/whitequark/status/1117916690547789824 (thread)08:34
*** citypw has joined #yosys08:34
rjothat ends with questions...08:36
daveshahrjo: sorry, meant to link this one08:38
daveshahhttps://twitter.com/whitequark/status/111791768385967308808:38
daveshahThe Yosys sim model should be correct08:38
rjook. thanks!08:39
*** dys has joined #yosys08:54
*** thasti has joined #yosys10:39
*** citypw has quit IRC11:05
*** citypw has joined #yosys11:05
*** citypw has quit IRC11:09
*** citypw has joined #yosys11:10
*** fsasm has joined #yosys11:27
heijligenHi, what does yosys mean by "Executing EDIF backend. ERROR: Don't know how to handle 1'z on (portRef (member gp0_out 0))."11:33
az0relooks like you have some tri-state buffer it doesn't like11:37
*** citypw has quit IRC11:38
az0re(just a guess; I'm not familiar with the EDIF backend at all)11:39
mwkheijligen: what target is it? in general, 1'bz shouldn't survive until EDIF11:45
heijligenmy input are +/xilinx/cells/xtra.v and vhdl through ghdl11:46
heijligenyosys -m ghdl -p "read_verilog +/xilinx/cells_xtra.v; ghdl --std=08 $^ -e top; synth_xilinx -top top -edif $@"11:47
heijligenI'm trying to wrap the PS7 primitives into vhdl records11:48
heijligenmwk: it's an Zynq702011:49
mwkheijligen: are you using the latest git yosys? there have been a lot of improvements to tristate support recently11:53
mwkalso, why are you manually adding cells_xtra.v? synth_xilinx should do it on its own11:53
heijligenI'm using Yosys 0.9+932 (git sha1 8b2c9f45, gcc 8.3.1 -fPIC -Os), will rebuild and test with git master11:54
mwkwait a moment11:55
heijligenok, that synth_xilinx is including the rechlibs wasn't known to me11:55
mwkokay, you should still update11:55
mwkbut11:55
mwkthat seems to be new enough that tristate buffer is in, just not enabled by default11:56
mwktry adding -iopad to synth_xilinx11:56
daveshahI don't know if cells_xtra being read by synth_xilinx works here, if ghdl needs the blackboxes11:56
daveshah(as synth_xilinx is after ghdl)11:56
*** Stary has quit IRC11:59
mwkhmm, true, dunno about ghdl12:01
heijligenI'll rebuild the toolchain and run it again12:02
heijligenI've no inout wires in my design, so why should there something with a tri-state buffer12:03
heijligenmy code is at https://github.com/heijligen/vhdl_pynq, it's a simple blink example12:04
heijligenblink is working, blink2 not12:04
*** Stary has joined #yosys12:04
*** vidbina_ has joined #yosys12:18
mwkheijligen: could you run synth_xilinx without edif output, use `dump` to write the ilang file afterwards, then upload it somewhere?12:37
mwkcould be a missing wire somewhere12:37
heijligenmwk: https://gist.github.com/heijligen/ff7a64b1f559de43a2509d503b99edb213:09
tpbTitle: blink2.dump · GitHub (at gist.github.com)13:10
heijligenthe error by synth_xilinx -edif is ERROR: Don't know how to handle 1'z on (portRef (member gp0_out 106)).13:10
mwkheijligen: uhh, this dump doesn't appear to even contain your module?13:15
heijligenwhen i say "show top" the output is correct13:18
mwkwhat about "dump top"?13:21
heijligenI've updated the gist. there is mow a top13:25
heijligenmwk: https://pasteboard.co/IPMqw4F.png13:31
tpbTitle: Pasteboard - Uploaded Image (at pasteboard.co)13:31
mwkalright, so it seems that zynq_ps7 does something stupid13:40
mwkgp0_out is connected to 'z13:40
mwkor at least bits [77:66] of it13:40
heijligenmost of zynq_ps7 is not connected. I don't need it yes and leave the ports open13:44
mwkit's about zynq_ps7 driving a 'z on some of *its* output ports13:46
heijligenwhat does 'z mean? I'm relative new to this stuff13:48
mwkhmm.13:49
mwkwell, 'z means unconnected13:49
mwkie. you're not assigning a value to some of the output ports13:49
mwkwell, undriven really, not unconnected13:49
mwkyou know what, I'll cook you a patch13:49
heijligenok, that is wat I wanted. this wires are there from the verilog module and i've wrapped them in vhdl and do not need them13:50
mwkheijligen: try out mwk/edif-z branch of yosys13:52
mwkI think it should be the correct fix13:52
heijligenIt's building successfully14:00
heijligenmwk: the bitstream is working. thanks14:10
*** DurandA59 has joined #yosys14:25
DurandA59Hi everyone. I have a quick question concerning Yosys: what are BUFs that are drawn everywhere from my design using the show command.14:37
ZirconiumXBuffers14:39
daveshahThey are used for aliases between net names14:40
ZirconiumXWhat are you targeting?14:40
daveshahThey aren't really cells in the netlist14:40
DurandA59I am targetting ECP5 using Trellis. So this is just for the xdot representation and doesn't map to an actual element of the FPGA?14:43
daveshahNo, they don't have any relationship to FPGA mapping14:43
daveshahThey are really just giving an alternative name to a net, equivalent to "assign b = a;" in Verilog14:44
DurandA59Thank you very much!14:45
*** DurandA59 has quit IRC14:51
*** develonepi3 has joined #yosys15:35
*** vidbina_ has quit IRC15:42
*** vidbina_ has joined #yosys15:44
*** emeb has joined #yosys15:51
*** az0re has quit IRC16:32
*** vidbina_ has quit IRC17:19
*** Stary has quit IRC18:09
*** Stary has joined #yosys18:11
*** dys has quit IRC18:22
*** Jybz has joined #yosys18:39
*** Jybz has quit IRC18:56
*** Jybz has joined #yosys18:57
*** X-Scale` has joined #yosys19:32
*** X-Scale has quit IRC19:34
*** X-Scale` is now known as X-Scale19:34
*** vidbina_ has joined #yosys19:35
*** Laksen has joined #yosys19:52
*** dh73 has joined #yosys19:57
*** vidbina_ has quit IRC20:00
*** az0re has joined #yosys20:02
*** fsasm has quit IRC20:05
*** X-Scale has quit IRC20:37
*** X-Scale` has joined #yosys20:37
*** X-Scale` is now known as X-Scale20:38
*** Jybz has quit IRC20:43
*** az0re has quit IRC20:46
*** emeb_mac has joined #yosys20:49
*** adjtm_ has quit IRC21:01
*** adjtm has joined #yosys21:01
*** az0re has joined #yosys21:08
*** fsasm has joined #yosys21:14
*** fsasm has quit IRC21:41
*** TheHolyC has quit IRC21:52
*** TheHolyC has joined #yosys21:52
*** elfGamal has joined #yosys21:58
*** elGamal has quit IRC21:59
*** vidbina_ has joined #yosys22:07
*** Laksen has quit IRC23:05
*** vidbina_ has quit IRC23:15
*** adjtm has quit IRC23:41
*** adjtm has joined #yosys23:41
*** az0re has quit IRC23:50
*** dh73 has quit IRC23:58

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!