Sunday, 2020-01-12

*** tpb has joined #yosys00:00
*** Cerpin has quit IRC01:33
*** Cerpin has joined #yosys01:35
*** alexhw has quit IRC02:17
*** alexhw has joined #yosys02:22
jjjaaaccckkkOr anyone know Mathias and can ask if he is open to sharing them?02:31
*** citypw has joined #yosys03:08
*** QK_b00m3r is now known as OK_b00m3r03:26
*** develonepi3 has quit IRC04:04
*** _whitelogger has quit IRC04:19
*** _whitelogger has joined #yosys04:21
*** ric96 has quit IRC04:35
*** daveshah has quit IRC04:36
*** _florent_ has quit IRC04:36
*** bubble_buster has quit IRC04:37
*** ric96 has joined #yosys04:37
*** daveshah has joined #yosys04:37
*** bubble_buster has joined #yosys04:39
*** _florent_ has joined #yosys04:50
*** phire has quit IRC04:55
*** _whitelogger has quit IRC05:37
*** _whitelogger has joined #yosys05:39
*** emeb_mac has quit IRC07:04
*** dys has quit IRC07:55
*** _whitelogger has quit IRC08:37
*** Jybz has joined #yosys08:37
*** _whitelogger has joined #yosys08:39
az0reNo, unfortunately, I've never met him.  But it sounds like Clifford knows him reasonably well.  Maybe you can ask him to ask Mathias to post them publicly/more prominently?08:42
*** dys has joined #yosys09:54
*** adjtm_ has joined #yosys10:14
*** adjtm has quit IRC10:16
plaesjjjaaaccckkk: there's prjxray for xilinx710:27
*** vidbina_ has joined #yosys12:21
*** vidbina_ has quit IRC12:27
*** citypw has quit IRC12:45
*** fsasm has joined #yosys13:42
*** lutsabound has joined #yosys13:44
ZirconiumXdaveshah: Is there a way to get nextpnr to output longest combinational path in out-of-context mode?13:57
daveshahI don't know13:57
daveshahThe current timing analysis code is a bit crap13:57
daveshahYou could always just wrap you combinational logic in two registers13:58
daveshahThat should make it actually optimise for timing too13:58
ZirconiumXAh, yes, that works, thank you14:07
ZirconiumXUnfortunately the critical path report is...unhelpful.14:07
daveshahI suspect the problem is more abc than anything nextpnr14:09
ZirconiumXautoname at least is giving very painful names14:09
ZirconiumX Source \$4560_LUT4_Z_53_A_LUT4_Z_D_LUT4_Z_B_LUT4_Z_D_LUT4_C_Z_LUT4_D_B_LUT4_Z_SLICE.F114:10
ZirconiumXERROR: Assert `existing_cell' failed in passes/techmap/abc9.cc:51014:11
ZirconiumXOh goody.14:11
daveshahI think there were some changes to abc9 recently, they may well have broken something14:14
ZirconiumXBugpointing14:16
*** _whitelogger has quit IRC15:22
*** _whitelogger has joined #yosys15:24
*** GenTooMan has quit IRC16:15
*** show1 has quit IRC17:54
*** GenTooMan has joined #yosys19:04
*** phire has joined #yosys19:17
*** X-Scale` has joined #yosys19:31
*** X-Scale has quit IRC19:33
*** X-Scale` is now known as X-Scale19:33
*** dys has quit IRC19:57
*** vidbina_ has joined #yosys20:02
*** dys has joined #yosys20:11
*** GenTooMan has quit IRC20:32
*** emeb_mac has joined #yosys20:58
*** thasti has quit IRC21:07
*** gmc has quit IRC21:13
*** gmc has joined #yosys21:15
*** Laksen has joined #yosys21:59
*** rjo has joined #yosys22:01
*** fsasm has quit IRC22:17
rjoon iCE40, SB_IO, from the silicon blue schematics: if CLOCK_ENABLE goes high while the clock is already high, that would create a spurious late clock edge for the FFs in the SB_IO. I.e. That spurious clock edge could easily violate timing. Is that correct?22:22
daveshahThis was discussed a few months ago22:24
whitequarkrjo: the siliconblue schematics for SB_IO are blatantly wrong22:24
daveshahThe conclusion was the diagram is wrong22:24
daveshahYup22:24
*** vidbina_ has quit IRC22:24
whitequarkit does not match common sense or silicon behavior22:24
*** rombik_su has quit IRC22:28
*** Jybz has quit IRC22:32
*** vidbina_ has joined #yosys22:43
*** Laksen has quit IRC22:58
*** show1 has joined #yosys23:10
*** jjjaaaccckkk has quit IRC23:31
*** GenTooMan has joined #yosys23:48

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!