Tuesday, 2019-10-08

*** tpb has joined #yosys00:00
*** emeb has left #yosys00:18
*** umarcor has quit IRC00:26
*** citypw has joined #yosys02:01
*** PyroPeter has quit IRC02:12
*** PyroPeter has joined #yosys02:25
*** tpb has joined #yosys04:21
Xarkmrec: I believe it will work with Lattice tools, they are not super picky (default FT232H or FT2232H).04:22
*** awordnot has quit IRC05:59
*** awordnot has joined #yosys06:00
*** Jybz has joined #yosys06:30
*** emeb_mac has quit IRC06:34
*** cr1901_modern has quit IRC06:40
*** cr1901_modern has joined #yosys06:42
*** adjtm_ has quit IRC07:20
*** m4ssi has joined #yosys07:55
*** adjtm_ has joined #yosys07:57
*** dys has quit IRC08:30
*** adjtm_ has quit IRC09:17
*** adjtm has joined #yosys09:20
*** fsasm has joined #yosys10:03
*** Stary has quit IRC10:32
*** alexhw has joined #yosys10:45
*** Stary has joined #yosys10:46
*** craigo has quit IRC11:20
*** dys has joined #yosys11:45
mrecwhich vendor/product id should the FT 2232 device have?11:50
*** adjtm has quit IRC11:53
mrecok the evb uses 6010 as productid11:55
mrecoperation unsuccessful... what a shit this ftdi <-> ICE40 coupling12:18
ZipCPUmrec: Language, please12:51
*** adjtm has joined #yosys12:57
*** jakobwenzel has quit IRC13:08
*** jakobwenzel has joined #yosys13:08
*** sandeepkr_ has joined #yosys13:18
*** pacak has quit IRC13:58
*** pacak has joined #yosys14:01
*** emeb has joined #yosys14:07
*** citypw has quit IRC14:52
*** fsasm has quit IRC15:15
*** adjtm has quit IRC15:26
*** fsasm has joined #yosys15:34
*** m4ssi has quit IRC16:03
*** adjtm has joined #yosys16:04
*** fsasm has quit IRC16:37
*** Jibz has joined #yosys16:48
*** Jibz has joined #yosys16:48
*** Jybz has quit IRC16:50
*** Jibz has quit IRC16:51
*** Jybz has joined #yosys16:51
*** tmeissner has joined #yosys17:25
mreccan anyone recommend a chip adapter for the ice40up5? I think it's best to programm it before placing it.17:33
daveshahProbably best to see what Lattice have17:34
daveshahI presume you want to program nvcm then, that's quite specialised17:34
mrecI'm having too many problems with incircuit programming, I have a clock signal on MOSI or MISO...17:36
mrecso I guess something's connected inside the FPGA that should not be connected.17:37
tnt?!17:39
tntduring programming the fpga is held in reset, it won't do anything.17:39
whitequarktnt: that is not the case17:40
whitequarkwhile the FPGA is programmed, LEDs on glasgow blink for example17:40
whitequarki think that while the user logic is held in reset, the reconfiguring process itself can cause glitches17:41
whitequarkon comb signals17:41
tntBut during configuration the IO ports should be disabled and held in their Z / weak-pull-up state.17:42
mwkhuh, doesn't ice40 force-tristate all IOs?17:42
tntI think they will switch between Z and weak-pull ups before the config is finished, but they should never be fully driven.17:44
whitequarkohhh17:47
whitequarkyeah, that is probably what's happening then17:47
mrecthe best for me would be if that chip would have a simple i2c interface for uploading the image... at least that could get me started with the rest of the board17:48
daveshahiCE40 SPI is simpler than I2C, tbh17:49
mrecnot if you don't have an SPI interface on the rest of the board17:49
mrechttps://github.com/icebreaker-fpga/icebreaker/blob/master/hardware/v1.0e/icebreaker-sch.pdf17:50
tpbTitle: icebreaker/icebreaker-sch.pdf at master · icebreaker-fpga/icebreaker · GitHub (at github.com)17:50
mrecADBUS3, ADBUS4 does anyone know what's used for temporary programming?17:50
mrec(I guess and hope it's adbus4)17:51
mrecwell it's not working anyway.17:52
tntYou can't do SRAM programming the way the icebreaker is wired.17:52
tntyou can only program the flash17:52
tntyou need to swap the data line (miso/mosi) because the ftdi can't do that and you also need to make sure if you have a flash chip as well on there that its CS line will be disconnected from the fpga cs line.17:56
*** Jybz has quit IRC17:57
mrecI just took it as reference for wiring up from the FTDI (which I have disconnected from an ICE40HX8K)17:58
mrecbut there's still something wrong and it's not the swapped MOSI/MISO port17:59
*** umarcor|2 has joined #yosys18:10
mrecis there any fool proof documentation out there which pins of the ftdi need a pull up and which don't for programming the ice40 part?19:06
mrecI'm only pulling up cdone and creset at the moment19:07
cr1901_modernI wonder how icestick permits SRAM programming by removing a single resistor if the lines have to be swapped too...19:10
daveshahPerhaps using bitbang rather than full MPSSE?19:12
*** captain_morgan2 has quit IRC19:19
*** captain_morgan2 has joined #yosys19:21
tntmrec: TN124819:23
tntcr1901_modern: where did you see that ?19:26
mrecI just found something...19:28
mrecneed to re-wire the FTDI to my board19:28
mrecsclk and si are swapped hopefully that's it19:28
mrecit's already wrong in my schematic19:28
*** snajpa has joined #yosys19:36
snajpahas anyone tried building the LiteX ethernet soc for ECP5? https://github.com/enjoy-digital/versa_ecp519:38
tpbTitle: GitHub - enjoy-digital/versa_ecp5: Versa ECP5 SoC based on LiteX (at github.com)19:38
snajpaI mean, recently enough19:39
snajpaInfo: Max frequency for clock '$glbnet$eth_clocks0_rx': 103.91 MHz (FAIL at 125.00 MHz)19:39
snajpaI can't get above 118 MHz with prjtrellis19:39
daveshahYes, this is a known issue, its always done this19:39
snajpaI see :)19:40
snajpaI've only seen the issue for the rmii_test19:40
snajpa*rgmii_test19:40
daveshahYes, that's the one design that fails19:40
daveshahDoing the entire gigabit Ethernet stack with an 8 bit data path pushes an ECP5 a bit19:41
snajpawhile :; do ./versa_ecp5.py ethernet --toolchain trellis && echo OK && break; done # no luck19:41
daveshahI've never seen it pass either19:42
daveshahIt works fine19:42
daveshahThe timing model Trellis uses is quite conservative anyway19:42
snajpaaha, so it does output the gateware? I didn't actually check19:42
daveshahNo because the LiteX script stops19:43
daveshahJust rerun the ecppack line19:43
snajpaok thanks a lot :)19:43
mrecwell whatever I rewire not working of course seems like the up5k is not meant for me.20:29
mrecterrible chip20:29
*** dys has quit IRC20:30
*** dys has joined #yosys20:31
snajpatftp booted, yay \o/20:32
snajpanow all the new stuff, hook this up with SD emulator from Google Vault20:32
snajpaI wonder how does one simulate so complex designs - only short parts of the wave traces should be relevant... should be fun :)20:34
mrecwhat is that stupid device detection doing? just checking cdone or what?20:38
daveshahIn what, iceprog? Yes20:42
daveshahIt doesn't try and do any kind of ID read - I'm not even sure if iCE40s support such a thing20:42
mrecdo you remember is there anything important that has to be taken care about when programming the sram?20:44
mrecI just can't figure out what's wrong with my setup20:44
daveshahNo, the only thing I can think of is MOSI and MISO the wrong way round20:45
daveshahBut in the worst case you can always try both options20:45
daveshahand just to check you are doing iceprog -S?20:45
*** emeb_mac has joined #yosys20:45
mrecVPP_2V5 is wired to 3V3 is that a problem? the datasheet says 3v3 is okay20:45
mrecI'm actually using the lattice windows tool20:46
daveshah3V3 is fine20:46
daveshahAh right20:46
daveshahThat tends to be pretty unfussy about wiring too20:47
daveshahWhat's the exact error it gives?20:47
mrecit can't detect the device20:47
cr1901_moderntnt: I misremembered about icestick. "iceprog --help", one of the last paragraphs explains how to do sram programming w/ icestick20:48
mrecit detects the ftdi of course20:48
daveshahCRESET and SS are both connected?20:48
tntmrec: are you sure it does SRAM programming ?20:48
mrectnt: that's what I would like it to do20:49
daveshahI'm sure I've done SRAM programming with the Lattice tools once20:49
daveshahIndeed it's an official option on the dev kits too20:49
mreccreset and cdone are wired up20:50
mrecand pulled to 3v3 using 10k20:50
tntwhich software are you using exactly ?20:51
mrecthe windows programming tool that comes with radiant20:51
mrecis there any basic way to check if things are wired up correctly?20:52
mrecthe chip is not getting hot :-)20:52
tntCan you post screenshot of your configuration for the programmer ?20:53
mrecjust saw that spi clock is supposed to have a pullup too20:54
mrecand ss_b20:55
mrecI'm just jumpwiring the ICE40HX evb20:55
mrecI desoldered the R0 resistors20:55
mrecwhich is R1-R6 I think20:55
tntRadiant is for UP5k only ... does the programming sofware even work for a HX ?!?20:55
mrecthe ice40hx evb is a ft2232 <-> ice40hx board, the ice40hx8k is disconnected20:56
mrecso I'm just using the ADBUSn connections of the ft2232 of that board20:57
*** tmeissner has quit IRC21:22
mrecok I should just not assume that anything will be correct...21:22
mrecthe FTDI is not pulling SSB to ground leaving the chip in SPI master mode21:22
mrecnow the question is ... what needs to be fulfilled first .. cdone or ssb?21:26
daveshahI think that ssb needs to be low when *creset* is pulled low21:27
daveshahOr before it goes high again anyway21:27
mrecit's not even pulling creset low21:28
daveshahcdone is pulled low by the fpga, not by the programmer21:28
mreccdone is low permanently21:28
daveshahThat means the fpga isn't configured, as expected21:28
daveshahI would expect creset to be being pulled down and back high21:30
daveshahWith ssb low by the time that creset goes high if not before, and ssb staying low while the programmer talks to the chip21:30
mrecit's definitely not getting pulled low21:33
mrecand it writes device detection failed... so the question is what is that firmware tool checking21:33
daveshahIs there any activity on any of the signals?21:34
daveshahIs it possible the wrong FTDI interface has been selected (B instead of A) - istr Diamond sometimes showed both21:34
mrechttps://gojimmypi.blogspot.com/2018/05/FPGA-Programming-iCE40UP5K-B-EVN.html21:35
tpbTitle: GoJimmyPi: Programming the Lattice Semiconductor FPGA iCE40 Ultra Plus Breakout Board iCE40UP5K-B-EVN (at gojimmypi.blogspot.com)21:35
mrecIn the end I was eventually successful, but I don't think the iCE40UP5K is for everyone.21:35
mrec:-)21:35
*** adjtm_ has joined #yosys21:35
mrecFTUSB-1 is triggering a reset21:37
mrecFTUSB-0 is not21:37
mrecok back to rewiring21:37
mrecthat's it21:44
mrecit works now...21:44
mrecthought the HW is the problem while the software setting was it somewhat thanks for the reset hint21:45
mrecI cannot remember that I have ever set the port to FTUSB-1 when uploading the configuration21:45
mrecsuddenly it's needed21:45
mrecguess if I would have gone with the linux tool it would have worked from the beginning on ...21:46
*** gnufan_home has joined #yosys21:51
*** adjtm has quit IRC22:07
*** forksand has joined #yosys22:32
*** gnufan_home has quit IRC23:20
*** emeb has quit IRC23:56

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!