Monday, 2019-05-06

*** tpb has joined #yosys00:00
*** lutsabound has quit IRC00:00
*** knielsen has joined #yosys00:01
*** lutsabound has joined #yosys00:27
*** cr1901_modern has quit IRC01:24
*** zachjs has quit IRC01:37
*** gsi__ has joined #yosys02:33
*** gsi_ has quit IRC02:36
*** lutsabound has quit IRC02:40
*** dys has quit IRC02:44
*** promach has joined #yosys02:50
promachZipCPU: not sure why yosys sby formal tool gave me that initial unknown thing. I will use iverilog and vivado simulator and solves most of the problems first02:51
ZipCPUYeah, me neither--since the formal tools have never given me 'x's before02:52
ZipCPUAre you sure you had -formal specified in your sby file?02:52
* ZipCPU is just making wild guesses at this point, having never seen this before02:52
promachZipCPU: https://gist.github.com/promach/cf3ae626a85badad6cd822d3107c86b7#file-spidergon-sby02:56
tpbTitle: Spidergon Networks On Chip ยท GitHub (at gist.github.com)02:56
ZipCPUTry using read_verilog -formal, and see if that makes any different from read_verilog -formal -sv03:02
promachyou mean without  -sv    ?03:03
*** PyroPeter has quit IRC03:03
ZipCPUYes03:05
ZipCPUIt shouldn't make a difference since you don't have the sv license anyway03:06
ZipCPUAlthough, you should know that the "read" command supercedes "read_verilog", but that discussion can wait for another day03:07
promachI guess the issue lies somewhere else other than the sby file ;|03:07
promach:|03:07
*** PyroPeter has joined #yosys03:16
*** gnufan_home has quit IRC03:34
*** emeb has left #yosys03:58
*** s_frit has quit IRC04:13
*** s_frit has joined #yosys04:13
*** zachjs has joined #yosys04:30
*** gnufan_home has joined #yosys04:54
*** proteus-guy has quit IRC04:56
*** indy has quit IRC04:57
*** rohitksingh_work has joined #yosys04:58
*** jevinski_ has joined #yosys05:28
*** jevinskie has quit IRC05:29
*** jevinskie has joined #yosys05:37
*** jevinski_ has quit IRC05:38
*** proteusguy has joined #yosys05:57
*** s_frit has quit IRC06:35
*** s_frit has joined #yosys06:36
*** jakobwenzel has joined #yosys06:51
*** emeb_mac has quit IRC07:15
*** zachjs has quit IRC07:20
*** GuzTech has joined #yosys07:24
*** s_frit has quit IRC07:29
*** s_frit has joined #yosys07:29
*** emeb_mac has joined #yosys07:59
*** rohitksingh has joined #yosys08:18
*** rohitksingh has quit IRC08:28
*** rohitksingh has joined #yosys08:35
*** rohitksingh has quit IRC08:46
*** jevinskie has quit IRC09:07
*** emeb_mac has quit IRC09:43
*** proteusguy has quit IRC11:07
*** cr1901_modern has joined #yosys11:23
*** gnufan_home has quit IRC12:30
*** gnufan_home has joined #yosys12:46
*** wifasoi has joined #yosys12:56
*** rohitksingh_work has quit IRC13:03
*** s_frit has quit IRC13:47
*** s_frit has joined #yosys13:48
*** MoeIcenowy has quit IRC13:52
*** MoeIcenowy has joined #yosys13:53
*** rohitksingh has joined #yosys13:58
*** jevinskie has joined #yosys14:05
*** rohitksingh has quit IRC14:15
*** rohitksingh has joined #yosys14:15
*** rohitksingh has quit IRC14:31
*** m4ssi has joined #yosys14:35
*** emeb has joined #yosys14:56
*** GuzTech has quit IRC15:05
*** rohitksingh has joined #yosys15:38
*** proteusguy has joined #yosys16:05
*** m4ssi has quit IRC16:06
*** wifasoi has quit IRC16:51
*** rohitksingh has quit IRC17:05
*** rohitksingh has joined #yosys17:15
*** rohitksingh has quit IRC17:27
*** jevinskie has quit IRC17:27
*** rohitksingh has joined #yosys17:29
*** kbeckmann has quit IRC19:23
*** Jybz has joined #yosys19:28
*** togo has joined #yosys19:44
*** danieljabailey_ has joined #yosys19:46
*** danieljabailey has quit IRC19:47
*** alexhw has quit IRC19:47
*** _whitelogger has quit IRC19:47
*** Jybz has quit IRC20:17
*** cr1901_modern has quit IRC20:38
*** rohitksingh has quit IRC20:40
*** zachjs has joined #yosys20:43
*** ymherklotz has joined #yosys21:00
*** ymherklotz has left #yosys21:19
*** ymherklotz has joined #yosys21:25
ymherklotzHi, I would like to load a verilog design in yosys and append a string like "_1" to all the modules21:29
ymherklotzusing 'rename mod1 mod1_1' works, however, I saw that yosys has a -enumerate option21:30
ymherklotzI can't seem to get it to work though, I have tried rename -enumerate A:* and selecting all the modules before calling rename -enumerate21:30
daveshahAs far as I know, -enumerate is intended to give things with dollar-prefixed internal names shorter names21:32
daveshahIt's not for renaming user modules21:32
ymherklotzAh ok, so it does not work on modules?21:32
daveshahrename does, but -enumerate doesn't - it is for nets and cells with dollar prefixes (typically ones generated by Yosys)21:33
ymherklotzAh ok, is there another way I could batch rename modules? Or should I just stick to doing it individually?21:33
ymherklotzI'm asking because I want to compare two designs that have the same module names in one top level module21:35
*** cr1901_modern has joined #yosys21:36
daveshahI think you have to do it individually21:36
ymherklotzGreat thanks!21:37
*** ymherklotz has quit IRC22:08
*** zachjs has quit IRC22:20
*** cr1901_modern has quit IRC22:34
*** cr1901_modern has joined #yosys22:39
*** s_frit has quit IRC22:46
*** zachjs has joined #yosys22:47
*** togo has quit IRC22:57

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!