Wednesday, 2019-04-10

*** tpb has joined #yosys00:00
*** togo has quit IRC00:20
FL4SHKThere is a VHDL frontend for yosys in development, isn't there?00:33
FL4SHKwh00:40
FL4SHKI just... saw something in Yosys00:40
FL4SHKSystemVerilog interfaces are supported?!00:40
FL4SHKpackages are supported?00:41
*** ktemkin has joined #yosys01:44
*** PyroPeter has quit IRC02:49
*** PyroPeter has joined #yosys03:02
*** emeb_mac has joined #yosys03:07
*** citypw has joined #yosys03:17
*** gsi__ has joined #yosys03:25
*** gsi_ has quit IRC03:28
*** bwidawsk has quit IRC03:42
*** yetifrisstlama has joined #yosys03:43
*** yetifrisstlama has quit IRC03:48
*** yetifrisstlama has joined #yosys03:50
*** proteusguy has quit IRC04:30
*** rohitksingh_work has joined #yosys05:22
*** proteusguy has joined #yosys06:10
*** dys has quit IRC06:20
*** develonepi3 has quit IRC07:10
*** m4ssi has joined #yosys07:16
*** emeb_mac has quit IRC07:43
*** futarisIRCcloud has quit IRC07:56
*** futarisIRCcloud has joined #yosys07:57
*** yetifrisstlama has quit IRC08:22
*** fsasm has joined #yosys08:38
*** citypw has quit IRC09:45
*** togo has joined #yosys10:06
*** AlexDaniel has quit IRC11:16
*** proteusguy has quit IRC11:55
*** GuzTech has joined #yosys11:59
*** indy has quit IRC12:11
*** futarisIRCcloud has quit IRC12:26
*** indy has joined #yosys12:39
*** futarisIRCcloud has joined #yosys12:58
*** rohitksingh_work has quit IRC13:43
*** Thorn has quit IRC14:15
*** rohitksingh has joined #yosys14:29
*** emeb has joined #yosys14:44
*** Thorn has joined #yosys14:59
*** GuzTech has quit IRC15:14
*** fsasm has quit IRC15:15
*** futarisIRCcloud has quit IRC15:36
*** rohitksingh has quit IRC15:44
*** m4ssi has quit IRC15:49
*** proteusguy has joined #yosys16:39
*** AlexDaniel has joined #yosys16:39
mithroZipCPU: you should join #symbiflow - Your ears should be burning :-)16:41
bubble_busterany other good related channels? I'm already in ##openfpga as well16:50
*** develonepi3 has joined #yosys17:40
*** gsi__ is now known as gsi_17:48
ZipCPU##verilog?  ##dsp #cpudev18:09
ZipCPUThere's an #openrisc channel, and a #risc channel too, but they've been somewhat quiet18:09
*** GuzTech has joined #yosys18:13
*** emeb has quit IRC18:33
*** m4ssi has joined #yosys18:38
*** maikmerten has joined #yosys19:46
*** m4ssi has quit IRC19:50
*** adjtm_ has joined #yosys20:27
*** adjtm has quit IRC20:30
*** maikmerten has quit IRC20:56
FL4SHKWell, I tried synthesizing SystemVerilog interfaces with yosys.21:26
FL4SHK...It seemed sketchy?21:26
FL4SHKMostly because I tried ports, I guess21:27
*** emeb has joined #yosys21:40
*** togo has quit IRC22:16
*** GuzTech has quit IRC22:48
*** gnufan_home has joined #yosys23:16
*** gsi_ has quit IRC23:35
*** gsi_ has joined #yosys23:43

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!