Friday, 2018-07-27

*** tpb has joined #yosys00:00
*** promach_ has joined #yosys00:18
*** xerpi has quit IRC00:21
*** X-Scale has quit IRC00:32
*** m_t has quit IRC00:33
*** X-Scale has joined #yosys00:34
*** promach_ has quit IRC01:37
*** maartenBE has left #yosys01:47
*** maartenBE has joined #yosys01:48
*** altenius has joined #yosys01:56
*** emeb has quit IRC02:12
*** digshadow has quit IRC02:30
*** AlexDaniel has quit IRC02:33
*** AlexDaniel has joined #yosys02:34
*** AlexDaniel has joined #yosys02:35
*** digshadow has joined #yosys03:47
*** pie_ has quit IRC04:29
*** pie_ has joined #yosys04:29
*** promach has quit IRC04:35
*** m_w has quit IRC04:40
*** m_w has joined #yosys04:50
*** pie_ has quit IRC05:35
*** promach has joined #yosys05:38
*** leviathan has joined #yosys05:39
*** leviathan has quit IRC05:41
*** leviathanch has joined #yosys05:41
*** altenius has quit IRC06:02
*** xerpi has joined #yosys06:27
*** GuzTech has joined #yosys07:13
*** dys has quit IRC07:19
*** m_w has quit IRC07:41
*** m_w has joined #yosys07:43
*** kraiskil has joined #yosys07:55
mattvennZipCPU: what's a word op?08:15
mattvennoperating on a whole bus at once?08:16
*** fsasm has joined #yosys09:20
*** fsasm has quit IRC09:30
*** fsasm has joined #yosys09:30
ZipCPUYes.  For example, reg [15:0] a; always @(posedge i_clk) if (reset) a <= 0; else a <= a+ 1;10:17
ZipCPUThe other thing I like about Verilator is the ease of mixing it with C++.  But that's another story.10:19
ZipCPUmattvenn: Hopefully that answers your question.10:19
mattvennthanks10:31
*** digshadow has quit IRC10:47
*** digshadow has joined #yosys10:48
*** keesj has quit IRC11:02
*** leviathanch has quit IRC11:05
*** leviathan has joined #yosys11:12
*** leviathan has quit IRC11:18
*** leviathan has joined #yosys11:19
*** xerpi has quit IRC11:21
*** leviathan has joined #yosys11:22
*** vup has quit IRC11:39
*** vup has joined #yosys11:39
*** kraiskil has quit IRC12:16
*** kraiskil has joined #yosys12:38
*** kraiskil has quit IRC12:44
*** kraiskil has joined #yosys12:50
*** m_t has joined #yosys12:57
*** lutsabound has joined #yosys13:11
*** altenius has joined #yosys13:11
*** leviathan has joined #yosys13:56
*** m_w has quit IRC14:01
*** m_w has joined #yosys14:02
*** promach_ has joined #yosys14:04
promach_ZipCPU: is it possible that yosys could interpret a negative edge as posedge ?14:47
ZipCPUNot likely.14:48
promach_Can I show you the trace which illustrates the opposite ?14:50
*** kraiskil has quit IRC14:51
ZipCPUSure14:53
ZipCPUBut make sure you explain it as well.14:53
promach_https://i.imgur.com/VTw7bZu.png15:05
promach_ZipCPU: cnt is not 0 for smt_step >= 14915:05
promach_assertion at line 29915:06
promach_I am referring this with reference to rx_clk15:06
ZipCPUWhat sets cnt?15:06
*** fsasm has quit IRC15:06
ZipCPUOh, and is your repo up to date?15:07
promach_ZipCPU: yes15:07
promach_https://github.com/promach/UART/blob/development/rtl/test_UART.v#L29915:08
tpbTitle: UART/test_UART.v at development · promach/UART · GitHub (at github.com)15:08
ZipCPULol15:09
ZipCPUYou are setting cnt on the positive edge of tx_clk15:09
ZipCPUSo, ... it changes on the positive edge of the tx_clk15:09
promach_I would say that this is not a problem at all15:09
ZipCPUYou are checking cnt on the positive edge of rx_clk.  tx_clk and rx_clk are out of phase by 180 degrees, hence the appearance of a negative edge issue.15:10
ZipCPUWell, I suppose it is a problem .... just not yosys' problem15:10
promach_and yosys seems to interpret posedge rx_clk differently15:10
*** m_w has quit IRC15:11
ZipCPUDifferently?15:13
*** emeb has joined #yosys15:14
promach_yes15:14
promach_see smt_step=14815:15
promach_yosys should have executed the assertion at step 148 instead of 14915:15
ZipCPUYou mean the assertion that eventually failed, the one on line 299?15:18
*** m_w has joined #yosys15:18
promach_YES15:19
ZipCPUHow are you running this?  Your makefile only runs 10 steps15:21
*** GuzTech has quit IRC15:24
ZipCPUI just tried running your code, and it passed with an induction length of 90.15:25
ZipCPUI must be looking at the wrong branch15:25
*** AlexDaniel has quit IRC15:26
*** AlexDaniel has joined #yosys15:27
promach_sby -f UART.sby15:38
promach_ZipCPU15:38
promach_use development branch15:43
promach_passed with an induction length of 90 ???15:43
*** kraiskil has joined #yosys15:46
ZipCPUOk, so .... the rx_clk rises and an assertion depending upon the rise of rx_clk fails.  Not sure the issue here.15:48
promach_ZipCPU: are you getting assertion failure at line 299 ?15:49
promach_I mean the trace15:49
promach_did you have your own trace now ?15:50
ZipCPUYes.  It also failed at 29915:50
ZipCPUYou've also got a problem with your had_been_enabled logic.  It fails at line 440.15:58
ZipCPULooks like a reset is messing that up.15:58
ZipCPUpromach_: You don't have your resets properly tied together, now, do you?15:59
promach_tied together ? that is not realistic in real life15:59
promach_there must be clock phase difference between tx_clk and rx_clk in real life16:00
ZipCPUI just got a failing trace from your code where the rx reset was held at one for the entire trace ...16:00
ZipCPUWhen the tx reset was asserted, the entire design failed.16:00
promach_we have different trace16:01
promach_my reset_tx is not asserted at all in my trace16:02
promach_and reset_rx is not held at one for the entire trace16:03
ZipCPUI set the induction depth to 250 to get that trace.  It seems to happen with a length of 200 as well.16:03
promach_ok, let me solve that line 440 first16:06
promach_strange, I have passed induction at length 200 before16:06
*** seldridge has joined #yosys16:56
*** dys has joined #yosys17:21
*** promach_ has quit IRC17:34
*** pie_ has joined #yosys18:03
*** kraiskil has quit IRC18:10
*** AlexDaniel has quit IRC18:41
*** leviathan has quit IRC18:54
*** m_w has quit IRC18:58
*** m_w has joined #yosys19:05
*** pie_ has quit IRC19:17
*** pie__ has joined #yosys19:17
*** kraiskil has joined #yosys19:46
*** lutsabound has quit IRC20:01
*** kraiskil has quit IRC20:12
*** xerpi has joined #yosys20:18
*** xerpi has joined #yosys20:19
*** emeb has quit IRC20:40
*** emeb has joined #yosys20:54
*** AlexDaniel has joined #yosys21:24
*** lutsabound has joined #yosys22:38
*** seldridge has quit IRC22:56
*** emeb has quit IRC23:06
*** kraiskil has joined #yosys23:34

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!