Wednesday, 2018-07-11

*** tpb has joined #yosys00:00
*** zetta1 has quit IRC00:59
*** luismarques has joined #yosys01:12
*** promach_ has joined #yosys01:14
*** promach_ has quit IRC01:41
*** tinyfpga has quit IRC01:45
*** tinyfpga has joined #yosys01:46
*** digshadow has quit IRC01:48
*** emeb has quit IRC01:55
*** emeb_mac has joined #yosys01:56
*** luismarques has quit IRC01:59
*** seldridge has joined #yosys02:05
*** digshadow has joined #yosys02:19
promachdaveshah: For my Ubuntu 18.04 yosys "make", I have "/usr/bin/x86_64-linux-gnu-ld: cannot find -ltcl8.5" , but my system had tcl 8.6 installed02:25
promachis this a small bug in yosys makefile ?02:25
promachHowever, I found "LDLIBS += -ltcl86 -lwsock32 -lws2_32 -lnetapi32" in the Makefile02:26
*** digshadow has quit IRC02:36
*** digshadow has joined #yosys02:47
*** promach has quit IRC03:55
*** digshadow has quit IRC03:57
*** digshadow has joined #yosys04:18
*** promach has joined #yosys04:26
*** maartenBE has quit IRC04:38
*** maartenBE has joined #yosys04:41
*** seldridge has quit IRC05:04
*** dys has joined #yosys05:27
*** emeb_mac has quit IRC06:42
*** promach has quit IRC07:09
*** pie_ has joined #yosys07:38
*** promach has joined #yosys07:40
*** X-Scale has quit IRC07:54
*** dys has quit IRC08:01
*** promach has quit IRC08:25
*** promach has joined #yosys08:28
*** ZipCPU has quit IRC09:00
*** ZipCPU has joined #yosys09:05
*** indy has quit IRC09:41
*** X-Scale has joined #yosys09:43
*** indy has joined #yosys09:44
*** luismarques has joined #yosys10:20
*** promach has quit IRC10:25
*** mattvenn has joined #yosys10:44
*** luismarques has quit IRC11:50
*** promach has joined #yosys11:53
*** luismarques has joined #yosys12:13
*** promach_ has joined #yosys13:53
*** luismarques has quit IRC14:31
*** seldridge has joined #yosys14:32
*** seldridge has quit IRC14:47
*** jwhitmore has joined #yosys14:50
*** luismarques has joined #yosys15:09
*** seldridge has joined #yosys15:13
*** promach has quit IRC15:13
*** promach has joined #yosys15:14
*** emeb has joined #yosys15:24
*** seldridge has quit IRC15:24
*** seldridge has joined #yosys15:39
*** promach_ has quit IRC16:48
*** luismarques has quit IRC16:50
*** cr1901_modern has quit IRC16:58
*** cr1901_modern has joined #yosys17:05
*** digshadow has quit IRC17:21
*** luismarques has joined #yosys17:36
*** svenn has quit IRC17:43
*** svenn has joined #yosys17:54
*** luismarques has quit IRC17:58
*** jwhitmore has quit IRC18:05
*** proteus-guy has joined #yosys18:08
mithrodaveshah: Is there an easy place to get the name of all the "primitives" inside yosys?18:09
keesjhow many input/outputs does a simple lut have?18:09
mithrokeesj: Depends on the architecture - LUT4 for ice40, LUT6 for most modern Xilinx stuff18:10
daveshahmithro: the fine-grained cells are here: https://github.com/YosysHQ/yosys/blob/master/techlibs/common/simcells.v18:11
tpbTitle: yosys/simcells.v at master · YosysHQ/yosys · GitHub (at github.com)18:11
daveshahneed to find the coarse-grained ones18:11
daveshahhere they are: https://github.com/YosysHQ/yosys/blob/master/techlibs/common/simlib.v18:11
tpbTitle: yosys/simlib.v at master · YosysHQ/yosys · GitHub (at github.com)18:11
*** digshadow has joined #yosys18:12
*** jwhitmore has joined #yosys18:16
*** mjoldfield has quit IRC18:32
*** cr1901_modern has quit IRC18:41
*** cr1901_modern has joined #yosys18:42
*** mjoldfield has joined #yosys18:46
*** svenn has quit IRC18:57
*** svenn has joined #yosys18:57
mithrodaveshah: Thanks!19:00
daveshahmithro: FYI, you can also type 'help <cell name>' to see info about a particular cell19:04
*** m_w has joined #yosys19:07
keesjI wonder why simcells.v19:25
keesjdoes not have any thing with multipl outputs (e.g. the carry discussed yesteday)19:26
keesjdoes this happen during the mapping or similar?19:26
*** seldridge has quit IRC19:32
daveshahkeesj: those are primarily intended as a generic ASIC synthesis cell library, although the DFF primitives there are used for fpga synthesis too19:37
daveshahThe iCE40 specific cells are in https://github.com/YosysHQ/yosys/blob/master/techlibs/ice40/cells_sim.v19:38
tpbTitle: yosys/cells_sim.v at master · YosysHQ/yosys · GitHub (at github.com)19:38
daveshahNote that SB_CARRY only has a carry output19:38
daveshaharachne-pnr combines that with a LUT and FF if one exists to form an ICESTORM_LC during packing19:39
*** _whitelogger has quit IRC19:46
*** _whitelogger has joined #yosys19:48
*** seldridge has joined #yosys19:50
*** jwhitmore has quit IRC20:02
*** cr1901_modern has quit IRC20:04
*** tinyfpga has quit IRC20:20
*** tinyfpga has joined #yosys20:20
keesjdaveshah: thanks for the info I understand it better now20:26
mithrodaveshah: I don't quite get the difference between $dffe and $_DFFE_ ?20:32
*** seldridge has quit IRC20:34
daveshahmithro: $dffe is a coarse grain *word wide* cell, whereas $_DFFE_ is a gate level *single bit* cell20:35
mithrodaveshah: Ahh20:36
daveshah$dffe also has configurable polarities, whereas for $_DFFE_s this is done using different cell types20:36
daveshahThey will then map directly to FPGA or ASIC resources20:36
daveshahChapter 5 of the Yosys Manual also has some good info on this20:39
*** cr1901_modern has joined #yosys20:47
*** seldridge has joined #yosys20:51
*** Kooda1 is now known as Kooda21:17
*** svenn has quit IRC21:19
*** svenn has joined #yosys21:20
*** indy has quit IRC22:31
*** indy has joined #yosys22:45
*** seldridge has quit IRC23:06
*** promach_ has joined #yosys23:44

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!