Friday, 2018-06-08

*** tpb has joined #yosys00:00
*** roh has quit IRC00:56
*** seldridge has quit IRC01:30
*** roh has joined #yosys01:36
*** digshadow has joined #yosys02:44
*** emeb has quit IRC02:50
*** emeb_mac has joined #yosys02:54
*** proteusguy has quit IRC04:21
*** xrexeon_ has quit IRC04:35
*** AlexDaniel has quit IRC04:39
*** proteusguy has joined #yosys04:52
*** digshadow has quit IRC05:06
*** seldridge has joined #yosys05:07
*** proteusguy has quit IRC05:08
*** dys has quit IRC05:14
*** proteusguy has joined #yosys05:20
*** sklv has quit IRC05:33
*** sklv has joined #yosys05:33
*** AlexDaniel has joined #yosys05:40
*** proteusguy has quit IRC05:45
*** shivam has joined #yosys06:03
*** shivam has quit IRC06:09
*** emeb_mac has quit IRC06:26
*** seldridge has quit IRC06:28
*** GuzTech has joined #yosys06:48
*** xerpi has joined #yosys06:50
*** digshadow has joined #yosys07:24
*** leviathan has joined #yosys07:40
*** leviathan has quit IRC07:53
*** leviathan has joined #yosys07:53
mattvennIn my efforts to make a sliding DFT in Verilog08:04
mattvennhttps://github.com/mattvenn/fpga-fft08:04
tpbTitle: GitHub - mattvenn/fpga-fft: sliding DFT for FPGA, targetting Lattice ICE40 1k (at github.com)08:04
mattvennI'm a bit stuck on how to handle the integer maths08:04
mattvennthe 'twiddle factors' are scaled to make the most use of the precision available08:05
mattvennbut then when I'm doing the multiplication, things quickly overflow08:05
mattvennin my python implementation, the frequency bins stay low, because all the twiddle factors are <= 108:05
mattvennhow do I handle keeping repeated multiplications within the bounds of my registers?08:06
sorearyour repo is misnamed, that is not a fft08:08
mattvennI started off doing an FFT and then changed to SDFT08:08
mattvennbut what is the difference?08:08
soreardo a bit shift?  (x * 85) >> 8 ~= x * (1/3)08:09
sorearhmm, finite precision is a problem, disappointed that the paper doesn't cover numerical stability at all08:15
mattvennthis one touches on it: https://www.dsprelated.com/showarticle/776.php08:18
tpbTitle: Understanding and Implementing the Sliding DFT - Eric Jacobsen (at www.dsprelated.com)08:18
mattvennbut just mentions that you can tweak the coefficients down a little if it overflows08:19
mattvennhowever, I definitely some scaling happening somewhere before I consider that08:19
*** AlexDaniel has quit IRC08:48
*** digshadow has quit IRC09:17
*** proteusguy has joined #yosys09:23
*** zkrx has quit IRC09:24
*** proteus-guy has quit IRC09:26
*** zkrx has joined #yosys09:29
*** leviathan has quit IRC10:35
*** AlexDaniel has joined #yosys10:54
*** xerpi has quit IRC11:07
*** develonepi3 has joined #yosys11:09
*** sklv has quit IRC11:30
*** sklv has joined #yosys11:31
*** AlexDaniel has quit IRC11:45
*** AlexDaniel has joined #yosys12:57
*** massi has quit IRC12:59
*** jwhitmore has joined #yosys12:59
keesjthere was an other amp hour interview that was ICE/FPGA related13:01
keesjhttps://theamphour.com/395-an-interview-with-luke-valenty/13:01
*** massi has joined #yosys13:01
keesjI wonder how stable the tinyFPGA clock is and how the bootloader performs.13:02
keesjit was not 100% clear to me what functionality is present when using Yosys13:03
*** jwhitmore has quit IRC13:10
*** AlexDani` has joined #yosys13:29
*** AlexDaniel has quit IRC13:31
*** ccole has quit IRC13:39
mattvennit all works with icestudio, which depends on apio, which depends on icestorm/yosys13:52
*** xerpi has joined #yosys14:29
*** captain_morgan has quit IRC14:37
*** captain_morgan has joined #yosys14:38
*** promach_ has joined #yosys14:39
*** emeb has joined #yosys14:59
*** roh has quit IRC15:00
*** digshadow has joined #yosys15:20
*** roh has joined #yosys15:47
tinyfpgakeesj: the TinyFPGA BX uses a MEMS oscillator from Microchip15:59
tinyfpgakeesj: http://www.microchip.com/promo/dsc6000-family-mems-oscillators15:59
tpbTitle: DSC6000 Family MEMS Oscillators | Microchip Technology (at www.microchip.com)15:59
tinyfpgakeesj: its accurate within 25ppm and has a jitter on the order of 10s of ps16:00
tinyfpgakeesj: like mattvenn mentioned, it’s 100% compatible with icestorm/yosys.16:01
mattvennhey tinyfpga !16:20
tinyfpgahey mattvenn :)16:20
tinyfpgaI lurk around here once and a while...get push notifications to my phone when my handle is mentioned16:20
*** digshadow has quit IRC16:23
*** digshadow has joined #yosys16:28
mattvenncool16:32
mattvenngood to see your recent boards worked out well16:32
*** dys has joined #yosys16:35
*** seldridge has joined #yosys16:37
*** digshadow has quit IRC16:53
*** promach_ has quit IRC17:07
*** dys has quit IRC17:16
*** dys has joined #yosys17:17
*** digshadow has joined #yosys17:30
*** xerpi has quit IRC17:36
*** GuzTech has quit IRC17:48
mithrotinyfpga: Hey!18:18
tinyfpgamithro: hey, what’s up?18:19
mithrotinyfpga: How goes things?18:19
tinyfpgamithro: very busy...was lucky to have time to assemble the samples last night18:20
tinyfpgamithro: need to update the website now for the BX so the reviewers have instructions to use Atom IDE with APIO/icestorm18:21
tinyfpgamithro: and fill out some paper work for arrow to start an order of FPGAs for the next TinyFPGA BX production run18:22
mithrotinyfpga: That would be awesome - interested to see how atom + apio work18:22
*** m_t has joined #yosys18:42
*** digshadow has quit IRC18:49
*** jwhitmore has joined #yosys18:52
*** AlexDani` is now known as AlexDaniel19:11
*** xerpi has joined #yosys20:22
*** pie_ has quit IRC20:46
*** jwhitmore has quit IRC21:15
*** AlexDaniel has quit IRC21:16
*** AlexDaniel has joined #yosys21:17
*** jwhitmore has joined #yosys21:28
*** pie_ has joined #yosys21:32
*** jwhitmore has quit IRC21:56
*** develonepi3 has quit IRC22:03
*** seldridge has quit IRC22:12
*** jwhitmore has joined #yosys22:17
*** develonepi3 has joined #yosys23:05
develonepi3Are most on this irc using RaspBian to work with IcoBoard?23:07
mithrodaveshah: Do you know if with the ".param LUT_INIT 0110" can I just pad it with zeros to get the 16b version?23:13
*** jwhitmore has quit IRC23:15
*** xerpi has quit IRC23:16
*** m_t has quit IRC23:20
*** pie_ has quit IRC23:23
*** AlexDaniel has quit IRC23:26
*** AlexDaniel has joined #yosys23:26
*** dxld has quit IRC23:38
*** dxld has joined #yosys23:44

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!