Thursday, 2018-06-07

*** tpb has joined #yosys00:00
*** emeb_mac has joined #yosys00:16
mithroIs there a way to prevent yosys from "optimizing" a design / lut away?00:17
*** emeb has quit IRC00:19
awygle(* KEEP *) i think?00:21
mithroawygle: yeah - actually that seems to have worked...00:27
awygleHTH lol00:29
mithroawygle: Do you know what the best way to create a LUT5 in the ice40 is?00:31
cr1901_modernProb from 3 LUT4s?00:43
puddingpimpcan probably compress into 2 LUT4s in many cases00:54
awyglemithro: I'm not aware of a better way than "write one in Verilog"00:55
mithroawygle: I was more interested in how you might pack the needed structures for a LUT5 together into a cell for an efficient design00:57
awyglemithro: ah. No particularly good ideas.01:04
mithroawygle: Like I feel like the LUT cascade or something might be useful01:04
*** kmehall has quit IRC01:49
*** kmehall has joined #yosys01:50
*** m_w has quit IRC02:04
*** roh has quit IRC02:28
*** digshadow has quit IRC02:37
*** roh has joined #yosys03:01
*** xrexeon has joined #yosys03:09
*** promach has joined #yosys03:38
*** digshadow has joined #yosys03:39
*** xrexeon_ has joined #yosys03:57
*** xrexeon has quit IRC04:00
*** xrexeon__ has joined #yosys04:00
*** xrexeon_ has quit IRC04:04
*** leviathan has joined #yosys04:19
*** leviathan has quit IRC04:21
*** leviathan has joined #yosys04:23
*** proteusguy has quit IRC04:25
*** proteusguy has joined #yosys04:27
*** xrexeon_ has joined #yosys05:19
*** xrexeon__ has quit IRC05:21
*** xrexeon_ has quit IRC05:23
*** dys has joined #yosys05:26
*** emeb_mac has quit IRC06:01
*** sklv has quit IRC06:04
*** sklv has joined #yosys06:04
*** massi has joined #yosys06:58
*** dys has quit IRC07:46
*** jwhitmore has joined #yosys07:52
*** GuzTech has joined #yosys08:34
*** jwhitmore has quit IRC08:43
*** jwhitmore has joined #yosys08:52
*** jwhitmore has quit IRC09:02
*** jwhitmore has joined #yosys09:10
*** leviathan has quit IRC09:22
*** roh has quit IRC09:34
*** ar3itrary has quit IRC10:01
*** ar3itrary has joined #yosys10:02
*** roh has joined #yosys10:05
*** leviathan has joined #yosys11:23
*** leviathan has quit IRC11:25
*** leviathan has joined #yosys11:25
*** develonepi3 has joined #yosys12:52
*** jwhitmore has quit IRC13:09
*** jwhitmore has joined #yosys13:16
*** sklv has quit IRC13:33
*** sklv has joined #yosys13:35
*** jwhitmore has quit IRC13:39
*** sklv has quit IRC13:40
*** sklv has joined #yosys13:40
*** ccole has joined #yosys14:14
*** promach_ has joined #yosys14:15
mattvenncool interview with Clifford Wolf here: https://theamphour.com/374-an-interview-with-clifford-wolf/14:55
mattvenncool interview with Clifford Wolf here14:55
mattvennwoops14:55
mattvennlots of interesting stuff, and last 30 minutes is all about formal verification14:55
awygletinyfpga was also on amp hour recently, which was cool15:25
awygleand Michael Ossman shouted out azonenberg during his segment15:26
awyglewe gotta get some open fpga folks on embedded.fm, the podcast I actually listen to :-P15:27
*** GuzTech has quit IRC15:36
mattvennI only just heard about that one16:25
*** roh has quit IRC16:41
*** seldridge has joined #yosys17:00
*** develonepi3 has quit IRC17:04
azzizi_Hello17:09
azzizi_What should be the sequence of commands to convert verilog to bench files ..?17:09
*** azzizi_ has quit IRC17:10
*** azzizi_ has joined #yosys17:10
*** azzizi has quit IRC17:10
azzizi_Can I upload any picture here ?17:12
ZipCPUNo, but you can upload a picture to imgur.com and post the link here.17:13
ZipCPUA picture might help to understand what it is you want to do.17:14
*** roh has joined #yosys17:18
azzizi_Please check : https://imgur.com/a/XPxt8wf17:21
tpbTitle: Imgur: The magic of the Internet (at imgur.com)17:21
azzizi_ My ultimate goal is to prepare .bench file from given TrustHUB benchmarks so that I will be able to prepare a graph (using .bench). From this graph, I will be able to extract features of a circuit such as Logic Gate Fan-in, Multiplexer input/output, loops in a circuit or any other information of circuit that can be included in a dataset.17:22
azzizi_I have also checked this :https://www.reddit.com/r/yosys/comments/85rm6u/a_problem_in_converting_into_bench_format/17:23
tpbTitle: A problem in converting into bench format : yosys (at www.reddit.com)17:24
azzizi_My question is what should be the proper sequence of commands to convert17:24
azzizi_benchmarks are verilog files btw17:26
ZipCPUazzizi_: Yosys doesn't have a write_bench command17:26
*** dys has joined #yosys17:32
*** xrexeon has joined #yosys17:32
ZipCPUazzizi_: To know the commands yosys supports, feel free to run "yosys" in its interactive mode and then issue the command "help"17:34
ZipCPUAll of the various write formats will show up at the end of the list.17:34
azzizi_So I can't use write_bench in Yosys but in ABC right ?17:37
ZipCPUThat sounds about right17:38
azzizi_So may I ask if you think I should include the 'techmap' and the 'dfflibmap -liberty mycells.lib' commands?17:39
ZipCPUI'm not much of an expert with the techmap commands, so I'd have to defer to someone else for that answer.17:40
azzizi_Thank you ..should I wait here ? I am curious because these two commands are only different to what Clifford suggested in the reddit link I had posted earlier17:41
*** promach_ has quit IRC17:42
ZipCPULet's see if daveshah is around at all ....?17:42
awygleazzizi_: are you currently doing the techmapping and then the bench writeout is failing?17:43
awygleor are you currently *not* including the techmap commands17:43
azzizi_<Zipcpu> Also in the imgur it is written that the write_bench command is for ABC I think maybe17:44
azzizi_<awygle> Please check this : https://imgur.com/a/XPxt8wf,    I am exactly using this sequence17:45
awygleah17:45
azzizi_Nothing is failing though...but I wonder if I am doing it correctly17:45
azzizi_because the .bench and .blif format that I am getting, do not have a regular pattern from which I can proceed further. In .bench files I am getting LUTs instead of gates. I gates in .bench and .blif files are incomprehensible17:46
awygleand you're expecting NAND's instead of LUTs.17:46
awygleyou need to pass your liberty file to your techmap command, i think17:47
awygleyou're only mapping FFs to your liberty file, not anything else, so everything else is yosys internal cells, which apparently are LUTs17:47
azzizi_May I bother you by asking what the proper sequence of commands should be17:48
awyglei think it should be as simple as replacing `techmap` with `techmap -map mycells.lib`. but i've never done anything like this, so this is educated guesswork.17:49
azzizi_Thanks very much for the response17:50
awyglehmm actually, if that doesn't work, try adding `abc -liberty mycells.lib` after the dfflibmap pass17:50
awygleand that is the extent of my ability (and desire) to guess at this. good luck!17:51
azzizi_Okay I will let you know the update17:51
azzizi_thanks again17:51
*** digshadow has quit IRC17:59
*** dxld has quit IRC17:59
*** dxld has joined #yosys18:02
*** azzizi_ has quit IRC18:06
*** digshadow has joined #yosys18:18
*** cr1901_modern has quit IRC18:53
*** keesj has quit IRC18:53
*** cr1901_modern has joined #yosys18:53
*** keesj has joined #yosys18:54
*** digshadow has quit IRC18:57
*** digshadow has joined #yosys19:00
*** leviathan has quit IRC19:45
*** m_w has joined #yosys20:11
*** emeb has joined #yosys21:01
*** oldtopman has quit IRC22:06
*** oldtopman has joined #yosys22:11
*** ccole has quit IRC22:13
*** ccole has joined #yosys22:14
*** xrexeon_ has joined #yosys22:25
*** xrexeon has quit IRC22:28
*** ccole has quit IRC22:35
*** ccole has joined #yosys22:37
*** dxld has quit IRC22:55
*** ccole has quit IRC22:57
*** dxld has joined #yosys22:59
*** xdeller_ has quit IRC23:01
*** xdeller_ has joined #yosys23:03
*** ccole has joined #yosys23:04
*** gnufan1 has joined #yosys23:08
*** digshadow has quit IRC23:08
*** gnufan has quit IRC23:09
*** mwk has quit IRC23:27
*** dxld has quit IRC23:34
*** seldridge has quit IRC23:36
*** dxld has joined #yosys23:36
*** seldridge has joined #yosys23:51

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!